OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /System09/trunk
    from Rev 222 to Rev 223
    Reverse comparison

Rev 222 → Rev 223

/rtl/VHDL/spi-master.vhd
90,8 → 90,10
--
-- 0.1 Hans Huebner 23 February 2009 SPI bus master for System09
-- 0.2 John Kent 16 June 2010 Added GPL notice
-- 0.3 David Burnette 8 April 2021 Added read-back of clk/transfer size
-- as well as a debug tag to confirm
-- read operation
--
--
 
library ieee;
use ieee.std_logic_1164.all;
205,6 → 207,10
data_out(0) <= '1';
end if;
data_out(1) <= deselect;
when "11" =>
data_out(1 downto 0) <= spi_clk_divide; -- allow read back of config
data_out(3 downto 2) <= transfer_length;
data_out(7 downto 4) <= "1010"; -- debug tag
when others =>
null;
end case;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.