OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /System09
    from Rev 216 to Rev 217
    Reverse comparison

Rev 216 → Rev 217

/trunk/rtl/System09_Digilent_Atlys/system09.ucf
218,8 → 218,8
# NET "USBSDO" LOC = "N18"; # Bank = 1, Pin name = IO_L48N_M1DQ9, Sch name = PIC32-SDO1
 
# PS/2 Keyboard via host USB controller
NET "ps2_clk" LOC = "P17"; # Bank = 1, Pin name = IO_L49P_M1DQ10, Sch name = PIC32-SCK1
NET "ps2_dat" LOC = "N15"; # Bank = 1, Pin name = IO_L50P_M1UDQS, Sch name = PIC32-SDI1
NET "ps2_clk" LOC = "P17"|PULLUP; # Bank = 1, Pin name = IO_L49P_M1DQ10, Sch name = PIC32-SCK1
NET "ps2_dat" LOC = "N15"|PULLUP; # Bank = 1, Pin name = IO_L50P_M1UDQS, Sch name = PIC32-SDI1
# NET "M_CLK" LOC = "N18"; # Bank = 1, Pin name = IO_L48N_M1DQ9, Sch name = PIC32-SDO1
# NET "M_DAT" LOC = "P18"; # Bank = 1, Pin name = IO_L49N_M1DQ11, Sch name = PIC32-SS1
 
239,6 → 239,15
# NET "JB<5>" LOC = "T9"; # Bank = 2, Pin name = IO_L32P_GCLK29, Sch name = JA-CLK_P
# NET "JB<6>" LOC = "V4"; # Bank = 2, Pin name = IO_L63N, Sch name = JA-D1_N
# NET "JB<7>" LOC = "T4"; # Bank = 2, Pin name = IO_L63P, Sch name = JA-D1_P
 
# Pmod Connector to Pmod-SDCard
# NET "SD_CS_n" LOC = "T3"; # Bank = 2, Pin name = IO_L62N_D6, Sch name = JA-D0_N
# NET "SD_MOSI" LOC = "R3"; # Bank = 2, Pin name = IO_L62P_D5, Sch name = JA-D0_P
# NET "SD_MISO" LOC = "P6"; # Bank = 2, Pin name = IO_L64N_D9, Sch name = JA-D2_N
# NET "SD_SCK" LOC = "N5"; # Bank = 2, Pin name = IO_L64P_D8, Sch name = JA-D2_P
# NET "SD_DAT1" LOC = "V9"; # Bank = 2, Pin name = IO_L32N_GCLK28, Sch name = JA-CLK_N
# NET "SD_DAT2" LOC = "T9"; # Bank = 2, Pin name = IO_L32P_GCLK29, Sch name = JA-CLK_P
# NET "SD_CD" LOC = "V4"; # Bank = 2, Pin name = IO_L63N, Sch name = JA-D1_N
 
# onboard VHDCI
# Channnel 1 connects to P signals, Channel 2 to N signals
/trunk/rtl/System09_Digilent_Atlys/system09.vhd
977,7 → 977,7
end if;
end process;
 
status_leds : process( rst_i, cpu_reset, cpu_addr, NMI, cpu_data_in, cpu_rw, CountL, sw)
status_leds : process( rst_i, cpu_reset, cpu_addr, NMI, cpu_data_in, cpu_rw, CountL, sw, keyboard_data_out)
begin
S(7) <= '0';
S(6) <= CountL(24);
996,6 → 996,10
S(3 downto 0) <= cpu_data_in(3 downto 0);
when "101" =>
S(3 downto 0) <= cpu_data_in(7 downto 4);
when "110" =>
S(3 downto 0) <= keyboard_data_out(3 downto 0);
when "111" =>
S(3 downto 0) <= keyboard_data_out(7 downto 4);
when others => S(3 downto 0) <= (others => '0');
end case;
end process;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.