OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /artificial_neural_network
    from Rev 13 to Rev 12
    Reverse comparison

Rev 13 → Rev 12

/trunk/ANN_kernel/RTL_VHDL_files/ann.vhd
182,7 → 182,7
(
f_type => ftype_v(i-1), -- Activation function type of the previous layer (i-1)
Nbit => NbitO(i-1), -- Bit width of the outputs of the previous layer (i-1)
lsbit => LSbit(i-1) -- least significant bit of activation function
lsbit => LSbit(i-1) -- least significant bit of activation function
)
port map
(
303,8 → 303,8
clk => clk,
run_in => runA(i-1), -- Input data validation comes from the activation function of the previous layer (i-1)
inputs => ladata(i-1)(NbitO(i-1)-1 downto 0), -- Serial input data
run_out => runI(i-1), -- Output data validation goes to the input data validation of this layer
outputs => lidata(i-1)((NumN(i-1)*NbitO(i-1))-1 downto 0) -- Parallel output data
run_out => runO(i-1), -- Output data validation goes to the input data validation of this layer
outputs => lodata(i-1)((NumN(i-1)*NbitO(i-1))-1 downto 0) -- Parallel output data
);
 
end generate; -- parallelizer
354,7 → 354,7
if ltype_v(i) = "PS" generate
layerPS_top_inst: entity work.layerPS_top
generic map
(
(
WBinit => WBinit ,
LNum => i ,
NumN => NumN(i), --- Number of neurons in layer (i)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.