OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /artificial_neural_network/trunk
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/ANN_kernel/RTL_VHDL_files/ann.vhd
50,7 → 50,7
m_we : in std_logic_vector(((NbitW+7)/8)-1 downto 0); -- Weight and bias memory write enable (external interface)
inputs : in std_logic_vector(NbitIn-1 downto 0); -- Input data
wdata : in std_logic_vector(NbitW-1 downto 0); -- Weight and bias memory write data
addr : in std_logic_vector((calculate_lra_l(NumIn, NumN, Nlayer)+log2(Nlayer))-1 downto 0); -- Weight and bias memory address
addr : in std_logic_vector((calculate_addr_l(NumIn, NumN, Nlayer)+log2(Nlayer))-1 downto 0); -- Weight and bias memory address
 
-- Output ports
run_out : out std_logic; -- Output data validation

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.