OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ethmac/trunk
    from Rev 347 to Rev 346
    Reverse comparison

Rev 347 → Rev 346

/README.txt
3,11 → 3,10
//// README.txt ////
//// ////
//// This file is part of the Ethernet IP core project ////
//// http://www.opencores.org/project,ethmac ////
//// http://www.opencores.org/projects/ethmac/ ////
//// ////
//// Author(s): ////
//// - Igor Mohor (igorM@opencores.org) ////
//// - Olof Kindgren (olof@opencores.org) ////
//// ////
//// ////
//////////////////////////////////////////////////////////////////////
44,13 → 43,6
//
//
 
RUNNING the simulation/Testbench in Icarus Verilog:
 
Go to the scripts directory and write "make rtl-tests"
All logs will be saved in the log directory
 
VCD dumps are coming soon
 
RUNNING the simulation/Testbench in ModelSIM:
 
Open ModelSIM project: ethernet/sim/rtl_sim/modelsim_sim/bin/ethernet.mpf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.