OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ethmac/trunk
    from Rev 338 to Rev 339
    Reverse comparison

Rev 338 → Rev 339

/scripts/icarus.scr
0,0 → 1,9
+incdir+../bench/verilog
+incdir+../rtl/verilog
-y ../rtl/verilog
-y ../bench/verilog
../bench/verilog/wb_master_behavioral.v
../bench/verilog/wb_slave_behavioral.v
../bench/verilog/wb_master32.v
../bench/verilog/wb_bus_mon.v
../bench/verilog/tb_ethernet.v
/scripts/Makefile
0,0 → 1,6
rtl-tests:
mkdir -p ../build/sim
mkdir ../log
iverilog -stb_ethernet -cicarus.scr -o ../build/sim/ethmac.elf
vvp ../build/sim/ethmac.elf
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.