OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mod_sim_exp/trunk/rtl/vhdl
    from Rev 32 to Rev 33
    Reverse comparison

Rev 32 → Rev 33

/core/mod_sim_exp_core.vhd
114,7 → 114,7
begin
 
-- The actual multiplier
the_multiplier : mont_multiplier
the_multiplier : mont_mult_sys_pipeline
generic map(
n => n,
nr_stages => t, --(divides n, bits_low & (n-bits_low))

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.