OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mod_sim_exp
    from Rev 13 to Rev 14
    Reverse comparison

Rev 13 → Rev 14

/trunk/rtl/vhdl/core/cell_1b.vhd
1,5 → 1,5
----------------------------------------------------------------------
---- cel_1b ----
---- cell_1b ----
---- ----
---- This file is part of the ----
---- Modular Simultaneous Exponentiation Core project ----
59,7 → 59,7
my : in std_logic;
y : in std_logic;
m : in std_logic;
-- operand x input bit and q (serial)
-- operand x input bit and q
x : in std_logic;
q : in std_logic;
-- previous result input bit

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.