OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /qaz_libs/trunk/basal/src
    from Rev 38 to Rev 37
    Reverse comparison

Rev 38 → Rev 37

/FIFOs/async_fifo.sv File deleted
/FIFOs/sync_fifo.sv
73,20 → 73,8
end
endgenerate
 
 
// --------------------------------------------------------------------
// synthesis translate_off
always_ff @(posedge clk)
if(wr_en & wr_full)
$stop;
always_ff @(posedge clk)
if(rd_en & rd_empty)
$stop;
// synthesis translate_on
// --------------------------------------------------------------------
 
 
// --------------------------------------------------------------------
//
endmodule
 
/misc/one_hot_encoder.sv
46,11 → 46,11
//
generate
if(A == 1)
begin: one_hot_encoder_gen
begin: mux_gen
assign encoded[1:0] = select[0] ? 2'b10 :2'b01;
end
else
begin: recurse_one_hot_encoder_gen
begin: recurse_mux_gen
wire [W-1:0] encoder_out;
 
one_hot_encoder #(.A(A - 1))

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.