OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /qaz_libs/trunk/sim/libs
    from Rev 32 to Rev 31
    Reverse comparison

Rev 32 → Rev 31

/qaz_lib_verilog/misc.f
1,6 → 1,5
#
 
${LIB_BASE_DIR}/misc/src/ram/bram_tdp.v
 
${LIB_BASE_DIR}/misc/src/recursive_mux.sv
${LIB_BASE_DIR}/misc/src/one_hot_encoder.sv

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.