OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /qaz_libs
    from Rev 42 to Rev 41
    Reverse comparison

Rev 42 → Rev 41

/trunk/BFM/src/axis_video_frame/axis_video_frame_bfm_pkg.sv
250,9 → 250,8
end
end
 
@(avf_axis_if.cb_m);
wait(avf_axis_if.cb_m.tready);
axis_default();
@(avf_axis_if.cb_m)
axis_default();
 
endtask: avf_tx
 
/trunk/PCIe/src/RIFFA/axis_to_riffa_tx.sv
51,8 → 51,7
//
wire acked;
wire [30:0] tx_index;
// wire tx_done = (tx_index >= tx_len - RW) & tx_ready;
wire tx_done = (tx_index >= tx_len - RW);
wire tx_done = (tx_index >= tx_len - RW) & tx_ready;
 
riffa_chn_tx #(.N(N))
riffa_chn_tx_i(.*);
/trunk/PCIe/src/RIFFA/riffa_chnl_rx_fsm.sv
68,6 → 68,7
else
next_state <= IDLE;
 
// ACK: next_state <= RX;
ACK: if(rx_ready)
next_state <= RX;
else
/trunk/sim/libs/qaz_lib_verilog/basal.f
6,7 → 6,6
${LIB_BASE_DIR}/basal/src/FIFOs/bc_sync_fifo.v
${LIB_BASE_DIR}/basal/src/FIFOs/sync_fifo.sv
${LIB_BASE_DIR}/basal/src/FIFOs/tiny_sync_fifo.sv
${LIB_BASE_DIR}/basal/src/FIFOs/async_fifo.sv
 
${LIB_BASE_DIR}/basal/src/misc/bit_connect_big_to_little.v
${LIB_BASE_DIR}/basal/src/misc/bit_connect_little_to_big.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.