OpenCores
URL https://opencores.org/ocsvn/rs232_syscon/rs232_syscon/trunk

Subversion Repositories rs232_syscon

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /rs232_syscon/web_uploads
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/svn_checkin.log File deleted
/temp.sh File deleted
/oc_cvs_checkin.sh File deleted
oc_cvs_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: svn_checkin.sh =================================================================== --- svn_checkin.sh (revision 5) +++ svn_checkin.sh (nonexistent) @@ -1,2834 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -# Encapsulate the checkins inside this loop we can -# break out of in the event of a problem checking -# one of them in - -# Function to check the return value of each SVN checkin -function check_svn_return_value { if [ $? -gt 1 ]; then echo "Error during checkins - aborting script."; exit 1; fi -} -ALL_DONE="0" -while [ $ALL_DONE = 0 ]; do - pushd "100baset" - popd - pushd "1394ohci" - popd - pushd "2dcoprocessor" - popd - pushd "395_vgs" - popd - pushd "3des_vhdl" - popd - pushd "4bitprocesor" - popd - pushd "6502vhdl" - popd - pushd "68hc05" - popd - pushd "68hc08" - popd - pushd "8051_serial" - popd - pushd "8051_to_ahb_interface" - popd - pushd "8b10b_encdec" - svn import -m "Import from OC" "8b10b_encdec_v1d0.pdf" "http://orsoc.se:4488/svn/8b10b_encdec/8b10b_encdec_v1d0.pdf" - check_svn_return_value - svn import -m "Import from OC" "8b10_dec.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_dec.vhd" - check_svn_return_value - svn import -m "Import from OC" "8b10_enc.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_enc.vhd" - check_svn_return_value - svn import -m "Import from OC" "enc_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/enc_8b10b_TB.vhd" - check_svn_return_value - svn import -m "Import from OC" "encdec_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/encdec_8b10b_TB.vhd" - check_svn_return_value - popd - pushd "8bituartvhdl" - popd - pushd "aacencode" - popd - pushd "acxbrd" - svn import -m "Import from OC" "jopcore.pdf" "http://orsoc.se:4488/svn/acxbrd/jopcore.pdf" - check_svn_return_value - popd - pushd "adaptivefilter" - popd - pushd "adaptive_lms_equalizer" - popd - pushd "adder" - svn import -m "Import from OC" "high-speed-adder-128bits-opencore.v" "http://orsoc.se:4488/svn/adder/high-speed-adder-128bits-opencore.v" - check_svn_return_value - popd - pushd "ae18" - popd - pushd "aemb" - popd - pushd "aes128" - popd - pushd "aes_128_192_256" - svn import -m "Import from OC" "aes_dec.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_dec.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_enc.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_enc.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_pkg.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_pkg.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_top.pdf" "http://orsoc.se:4488/svn/aes_128_192_256/aes_top.pdf" - check_svn_return_value - svn import -m "Import from OC" "key_expansion.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/key_expansion.vhdl" - check_svn_return_value - popd - pushd "aes_core" - popd - pushd "aes_crypto_core" - popd - pushd "aes_fekete256" - svn import -m "Import from OC" "AES.ZIP" "http://orsoc.se:4488/svn/aes_fekete256/AES.ZIP" - check_svn_return_value - popd - pushd "ahb2wishbone" - popd - pushd "ahbahb" - popd - pushd "ahb_arbiter" - popd - pushd "ahb_system_generator" - popd - pushd "all_digital_fm_receiver" - svn import -m "Import from OC" "architecture.png" "http://orsoc.se:4488/svn/all_digital_fm_receiver/architecture.png" - check_svn_return_value - svn import -m "Import from OC" "fmsquare.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmsquare.jpg" - check_svn_return_value - svn import -m "Import from OC" "fmtriangular.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmtriangular.jpg" - check_svn_return_value - popd - pushd "alternascope" - svn import -m "Import from OC" "Alternascope_Sept15_2005.rar" "http://orsoc.se:4488/svn/alternascope/Alternascope_Sept15_2005.rar" - check_svn_return_value - svn import -m "Import from OC" "BlockDiagram_small.GIF" "http://orsoc.se:4488/svn/alternascope/BlockDiagram_small.GIF" - check_svn_return_value - svn import -m "Import from OC" "OpenCores.JPG" "http://orsoc.se:4488/svn/alternascope/OpenCores.JPG" - check_svn_return_value - popd - pushd "alu_with_selectable_inputs_and_outputs" - popd - pushd "amba_compliant_fifo_core" - popd - pushd "ambasdram" - popd - pushd "aquarius" - svn import -m "Import from OC" "aquarius.files" "http://orsoc.se:4488/svn/aquarius/aquarius.files" - check_svn_return_value - svn import -m "Import from OC" "aquarius.html" "http://orsoc.se:4488/svn/aquarius/aquarius.html" - check_svn_return_value - svn import -m "Import from OC" "cpublock.gif" "http://orsoc.se:4488/svn/aquarius/cpublock.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaboard.gif" "http://orsoc.se:4488/svn/aquarius/fpgaboard.gif" - check_svn_return_value - svn import -m "Import from OC" "rtl.gif" "http://orsoc.se:4488/svn/aquarius/rtl.gif" - check_svn_return_value - popd - pushd "aspida" - svn import -m "Import from OC" "aspida_dlx_core.tar.gz" "http://orsoc.se:4488/svn/aspida/aspida_dlx_core.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "aspida.gif" "http://orsoc.se:4488/svn/aspida/aspida.gif" - check_svn_return_value - svn import -m "Import from OC" "faq.tar.gz" "http://orsoc.se:4488/svn/aspida/faq.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "thumb_aspida.gif" "http://orsoc.se:4488/svn/aspida/thumb_aspida.gif" - check_svn_return_value - popd - pushd "asynchronous_clocks" - popd - pushd "ata" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ata/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "preliminary_ata_core.pdf" "http://orsoc.se:4488/svn/ata/preliminary_ata_core.pdf" - check_svn_return_value - popd - pushd "auto_baud" - svn import -m "Import from OC" "auto_baud.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud.v" - check_svn_return_value - svn import -m "Import from OC" "auto_baud_with_tracking.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud_with_tracking.v" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/auto_baud/b13_safe_09_17_02.zip" - check_svn_return_value - popd - pushd "a_vhd_16550_uart" - svn import -m "Import from OC" "gh_uart_16550_101307.zip" "http://orsoc.se:4488/svn/a_vhd_16550_uart/gh_uart_16550_101307.zip" - check_svn_return_value - svn import -m "Import from OC" "vhdl_16550_uart_2_2.pdf" "http://orsoc.se:4488/svn/a_vhd_16550_uart/vhdl_16550_uart_2_2.pdf" - check_svn_return_value - popd - pushd "a_vhdl_can_controller" - svn import -m "Import from OC" "can_parts.zip" "http://orsoc.se:4488/svn/a_vhdl_can_controller/can_parts.zip" - check_svn_return_value - popd - pushd "avr_core" - svn import -m "Import from OC" "AVR_Core8F.tar.gz" "http://orsoc.se:4488/svn/avr_core/AVR_Core8F.tar.gz" - check_svn_return_value - popd - pushd "ax8" - popd - pushd "basicdes" - popd - pushd "basicrsa" - popd - pushd "baudgen" - svn import -m "Import from OC" "am_baud_rate_gen.vhd" "http://orsoc.se:4488/svn/baudgen/am_baud_rate_gen.vhd" - check_svn_return_value - popd - pushd "baud_select_uart" - popd - pushd "bc6502" - popd - pushd "big_counter" - popd - pushd "binary_to_bcd" - svn import -m "Import from OC" "b17_test_environment.zip" "http://orsoc.se:4488/svn/binary_to_bcd/b17_test_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "bcd_to_binary.v" "http://orsoc.se:4488/svn/binary_to_bcd/bcd_to_binary.v" - check_svn_return_value - svn import -m "Import from OC" "binary_to_bcd.v" "http://orsoc.se:4488/svn/binary_to_bcd/binary_to_bcd.v" - check_svn_return_value - popd - pushd "bips" - popd - pushd "biquad" - svn import -m "Import from OC" "biquad.pdf" "http://orsoc.se:4488/svn/biquad/biquad.pdf" - check_svn_return_value - svn import -m "Import from OC" "biquad.v" "http://orsoc.se:4488/svn/biquad/biquad.v" - check_svn_return_value - svn import -m "Import from OC" "bqmain.v" "http://orsoc.se:4488/svn/biquad/bqmain.v" - check_svn_return_value - svn import -m "Import from OC" "bquad_blk.gif" "http://orsoc.se:4488/svn/biquad/bquad_blk.gif" - check_svn_return_value - svn import -m "Import from OC" "coefio.v" "http://orsoc.se:4488/svn/biquad/coefio.v" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/biquad/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "multa.v" "http://orsoc.se:4488/svn/biquad/multa.v" - check_svn_return_value - svn import -m "Import from OC" "multb.v" "http://orsoc.se:4488/svn/biquad/multb.v" - check_svn_return_value - svn import -m "Import from OC" "vsource.html" "http://orsoc.se:4488/svn/biquad/vsource.html" - check_svn_return_value - popd - pushd "bluespec-80211atransmitter" - popd - pushd "bluespec-bsp" - popd - pushd "bluespec-convolutional-codec" - popd - pushd "bluespec-fft" - popd - pushd "bluespec-galoisfield" - popd - pushd "bluespec-h264" - svn import -m "Import from OC" "h264.pdf" "http://orsoc.se:4488/svn/bluespec-h264/h264.pdf" - check_svn_return_value - svn import -m "Import from OC" "memo497.pdf" "http://orsoc.se:4488/svn/bluespec-h264/memo497.pdf" - check_svn_return_value - popd - pushd "bluespec-ofdm" - popd - pushd "bluespec-reedsolomon" - popd - pushd "bluetooth" - svn import -m "Import from OC" "BBspec.shtml" "http://orsoc.se:4488/svn/bluetooth/BBspec.shtml" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_01b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_01b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_02b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_02b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth.zip" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/bluetooth/index.shtml" - check_svn_return_value - popd - pushd "bluetooth_ver" - popd - pushd "board" - svn import -m "Import from OC" "blockdiagram.jpg" "http://orsoc.se:4488/svn/board/blockdiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "boardflow.jpg" "http://orsoc.se:4488/svn/board/boardflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "board.shtml" "http://orsoc.se:4488/svn/board/board.shtml" - check_svn_return_value - svn import -m "Import from OC" "coreflow.jpg" "http://orsoc.se:4488/svn/board/coreflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/board/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "led.jpg" "http://orsoc.se:4488/svn/board/led.jpg" - check_svn_return_value - svn import -m "Import from OC" "matrics.gif" "http://orsoc.se:4488/svn/board/matrics.gif" - check_svn_return_value - svn import -m "Import from OC" "power_led.gif" "http://orsoc.se:4488/svn/board/power_led.gif" - check_svn_return_value - svn import -m "Import from OC" "XC95108-PC84.sym" "http://orsoc.se:4488/svn/board/XC95108-PC84.sym" - check_svn_return_value - popd - pushd "boundaries" - popd - pushd "brisc" - popd - pushd "butterfly" - popd - pushd "c16" - popd - pushd "cable" - popd - pushd "cachemodel" - popd - pushd "cam" - popd - pushd "camellia" - svn import -m "Import from OC" "camellia_core_tb.vhd" "http://orsoc.se:4488/svn/camellia/camellia_core_tb.vhd" - check_svn_return_value - svn import -m "Import from OC" "CAMELLIA_CORE.vhd" "http://orsoc.se:4488/svn/camellia/CAMELLIA_CORE.vhd" - check_svn_return_value - svn import -m "Import from OC" "Camellia_doc.pdf" "http://orsoc.se:4488/svn/camellia/Camellia_doc.pdf" - check_svn_return_value - popd - pushd "camellia-vhdl" - popd - pushd "can" - svn import -m "Import from OC" "CAN.gif" "http://orsoc.se:4488/svn/can/CAN.gif" - check_svn_return_value - popd - pushd "cas" - popd - pushd "cdma" - popd - pushd "cereon" - svn import -m "Import from OC" "AssemblerReference.pdf" "http://orsoc.se:4488/svn/cereon/AssemblerReference.pdf" - check_svn_return_value - svn import -m "Import from OC" "CereonArchitectureReferenceManual_Version1.pdf" "http://orsoc.se:4488/svn/cereon/CereonArchitectureReferenceManual_Version1.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcedureCallingStandards.pdf" "http://orsoc.se:4488/svn/cereon/ProcedureCallingStandards.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcessorIdentificationScheme.pdf" "http://orsoc.se:4488/svn/cereon/ProcessorIdentificationScheme.pdf" - check_svn_return_value - popd - pushd "cf_cordic" - svn import -m "Import from OC" "cf_cordic.tgz" "http://orsoc.se:4488/svn/cf_cordic/cf_cordic.tgz" - check_svn_return_value - popd - pushd "cf_fft" - svn import -m "Import from OC" "cf_fft_test_large.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test_large.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft_test.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft.tgz" - check_svn_return_value - popd - pushd "cf_fir" - svn import -m "Import from OC" "cf_fir.tgz" "http://orsoc.se:4488/svn/cf_fir/cf_fir.tgz" - check_svn_return_value - popd - pushd "cf_fp_mul" - svn import -m "Import from OC" "cf_fp_mul.tgz" "http://orsoc.se:4488/svn/cf_fp_mul/cf_fp_mul.tgz" - check_svn_return_value - popd - pushd "cfft" - popd - pushd "cfinterface" - popd - pushd "cf_interleaver" - svn import -m "Import from OC" "cf_interleaver.tgz" "http://orsoc.se:4488/svn/cf_interleaver/cf_interleaver.tgz" - check_svn_return_value - popd - pushd "cf_ldpc" - svn import -m "Import from OC" "cf_ldpc.tgz" "http://orsoc.se:4488/svn/cf_ldpc/cf_ldpc.tgz" - check_svn_return_value - popd - pushd "cf_rca" - svn import -m "Import from OC" "cf_rca.tgz" "http://orsoc.se:4488/svn/cf_rca/cf_rca.tgz" - check_svn_return_value - svn import -m "Import from OC" "rca_tile.png" "http://orsoc.se:4488/svn/cf_rca/rca_tile.png" - check_svn_return_value - popd - pushd "cf_ssp" - svn import -m "Import from OC" "cf_ssp.tgz" "http://orsoc.se:4488/svn/cf_ssp/cf_ssp.tgz" - check_svn_return_value - svn import -m "Import from OC" "ssp_cordic.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_cordic.c" - check_svn_return_value - svn import -m "Import from OC" "ssp_first_order.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_first_order.c" - check_svn_return_value - popd - pushd "cia" - popd - pushd "claw" - popd - pushd "clocklessalu" - popd - pushd "cmpct" - popd - pushd "c-nit_soc" - popd - pushd "color_converter" - popd - pushd "constellation_vga" - popd - pushd "const_encoder" - svn import -m "Import from OC" "Const_enc_oc.doc" "http://orsoc.se:4488/svn/const_encoder/Const_enc_oc.doc" - check_svn_return_value - svn import -m "Import from OC" "const_enc.vhd" "http://orsoc.se:4488/svn/const_encoder/const_enc.vhd" - check_svn_return_value - popd - pushd "cordic" - svn import -m "Import from OC" "cordic.pdf" "http://orsoc.se:4488/svn/cordic/cordic.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/cordic/index.shtml" - check_svn_return_value - popd - pushd "core_arm" - popd - pushd "cowgirl" - popd - pushd "cpu6502_true_cycle" - popd - pushd "cpu65c02_true_cycle" - popd - pushd "cpu8080" - popd - pushd "cpugen" - svn import -m "Import from OC" "cpugen.jpg" "http://orsoc.se:4488/svn/cpugen/cpugen.jpg" - check_svn_return_value - popd - pushd "cryptopan_core" - popd - pushd "cryptosorter" - svn import -m "Import from OC" "cryptosorter.pdf" "http://orsoc.se:4488/svn/cryptosorter/cryptosorter.pdf" - check_svn_return_value - popd - pushd "csa" - popd - pushd "dallas_one-wire" - popd - pushd "dct" - svn import -m "Import from OC" "dct.shtml" "http://orsoc.se:4488/svn/dct/dct.shtml" - check_svn_return_value - svn import -m "Import from OC" "dct.zip" "http://orsoc.se:4488/svn/dct/dct.zip" - check_svn_return_value - svn import -m "Import from OC" "htmlbook.shtml" "http://orsoc.se:4488/svn/dct/htmlbook.shtml" - check_svn_return_value - svn import -m "Import from OC" "modexp.shtml" "http://orsoc.se:4488/svn/dct/modexp.shtml" - check_svn_return_value - popd - pushd "ddr_sdr" - svn import -m "Import from OC" "ddr_sdr_V1_0.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_0.zip" - check_svn_return_value - svn import -m "Import from OC" "ddr_sdr_V1_1.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_1.zip" - check_svn_return_value - svn import -m "Import from OC" "doc" "http://orsoc.se:4488/svn/ddr_sdr/doc" - check_svn_return_value - svn import -m "Import from OC" "LICENSE.dat" "http://orsoc.se:4488/svn/ddr_sdr/LICENSE.dat" - check_svn_return_value - svn import -m "Import from OC" "vhdl" "http://orsoc.se:4488/svn/ddr_sdr/vhdl" - check_svn_return_value - popd - pushd "ddsgen" - popd - pushd "decoder" - svn import -m "Import from OC" "mp3_decoder.zip" "http://orsoc.se:4488/svn/decoder/mp3_decoder.zip" - check_svn_return_value - popd - pushd "deflatecore" - popd - pushd "des" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/des/index.shtml" - check_svn_return_value - popd - pushd "design_dsp320tmsc10_with_vhdl" - popd - pushd "dfp" - svn import -m "Import from OC" "dfp.gif" "http://orsoc.se:4488/svn/dfp/dfp.gif" - check_svn_return_value - svn import -m "Import from OC" "DFPV10.zip" "http://orsoc.se:4488/svn/dfp/DFPV10.zip" - check_svn_return_value - svn import -m "Import from OC" "V3.zip" "http://orsoc.se:4488/svn/dfp/V3.zip" - check_svn_return_value - popd - pushd "digifilter" - popd - pushd "diogenes" - svn import -m "Import from OC" "diogenes.tar.bz2" "http://orsoc.se:4488/svn/diogenes/diogenes.tar.bz2" - check_svn_return_value - popd - pushd "dirac" - popd - pushd "djpeg" - popd - pushd "dmacontroller" - popd - pushd "dmt_tx" - popd - pushd "dram" - svn import -m "Import from OC" "dram.html" "http://orsoc.se:4488/svn/dram/dram.html" - check_svn_return_value - svn import -m "Import from OC" "dram.shtml" "http://orsoc.se:4488/svn/dram/dram.shtml" - check_svn_return_value - popd - pushd "dualspartainc6713cpci" - svn import -m "Import from OC" "6713_CPU.pdf" "http://orsoc.se:4488/svn/dualspartainc6713cpci/6713_CPU.pdf" - check_svn_return_value - svn import -m "Import from OC" "BotLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/BotLayer.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_Front.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_Front.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_near_done_tiny.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_near_done_tiny.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid1Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid1Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid2Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid2Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemDiagram.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/SystemDiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "TopLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/TopLayer.jpg" - check_svn_return_value - popd - pushd "dwt2d" - svn import -m "Import from OC" "DIPC1.zip" "http://orsoc.se:4488/svn/dwt2d/DIPC1.zip" - check_svn_return_value - popd - pushd "e123mux" - svn import -m "Import from OC" "Block_Diagram.jpg" "http://orsoc.se:4488/svn/e123mux/Block_Diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "E123MUX_Core.pdf" "http://orsoc.se:4488/svn/e123mux/E123MUX_Core.pdf" - check_svn_return_value - popd - pushd "e1framer" - popd - pushd "e1framerdeframer" - svn import -m "Import from OC" "e1_framer.zip" "http://orsoc.se:4488/svn/e1framerdeframer/e1_framer.zip" - check_svn_return_value - svn import -m "Import from OC" "fas_insert.vhd" "http://orsoc.se:4488/svn/e1framerdeframer/fas_insert.vhd" - check_svn_return_value - popd - pushd "edatools" - popd - pushd "elevator" - popd - pushd "elphel_353" - popd - pushd "embedded_risc" - svn import -m "Import from OC" "Block_Diagram" "http://orsoc.se:4488/svn/embedded_risc/Block_Diagram" - check_svn_return_value - popd - pushd "embed_z8" - popd - pushd "epp" - svn import -m "Import from OC" "epp.jpg" "http://orsoc.se:4488/svn/epp/epp.jpg" - check_svn_return_value - popd - pushd "epp-interface-v" - popd - pushd "epp-to-wishbone" - popd - pushd "erp" - svn import -m "Import from OC" "ERPTechnicalReport4.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport4.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPTechnicalReport5.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport5.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPverilogcore.txt" "http://orsoc.se:4488/svn/erp/ERPverilogcore.txt" - check_svn_return_value - popd - pushd "ethdev" - popd - pushd "ethernet_tri_mode" - svn import -m "Import from OC" "ethernet_tri_mode.rel-1-0.tar.gz" "http://orsoc.se:4488/svn/ethernet_tri_mode/ethernet_tri_mode.rel-1-0.tar.gz" - check_svn_return_value - popd - pushd "ethmac10g" - popd - pushd "ethmacvhdl" - popd - pushd "ethswitch" - popd - pushd "eus100lx" - svn import -m "Import from OC" "180px-EUS_B_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_B_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "180px-EUS_T_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_T_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS100LX_BD.gif" "http://orsoc.se:4488/svn/eus100lx/EUS100LX_BD.gif" - check_svn_return_value - popd - pushd "eusfs" - svn import -m "Import from OC" "eusfs-bd.jpg" "http://orsoc.se:4488/svn/eusfs/eusfs-bd.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUSIIa_bottom_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUSIIa_bottom_tn.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS_II_topa_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUS_II_topa_tn.jpg" - check_svn_return_value - popd - pushd "evision" - popd - pushd "extension_pack" - popd - pushd "fac2222m" - svn import -m "Import from OC" "ADC-DAC-AMP.png" "http://orsoc.se:4488/svn/fac2222m/ADC-DAC-AMP.png" - check_svn_return_value - svn import -m "Import from OC" "fac2222m.png" "http://orsoc.se:4488/svn/fac2222m/fac2222m.png" - check_svn_return_value - popd - pushd "fast-crc" - svn import -m "Import from OC" "CRC-generator.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC-generator.tgz" - check_svn_return_value - svn import -m "Import from OC" "CRC_ie3_contest.pdf" "http://orsoc.se:4488/svn/fast-crc/CRC_ie3_contest.pdf" - check_svn_return_value - svn import -m "Import from OC" "CRC.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC.tgz" - check_svn_return_value - svn import -m "Import from OC" "Readme" "http://orsoc.se:4488/svn/fast-crc/Readme" - check_svn_return_value - popd - pushd "fbas_encoder" - svn import -m "Import from OC" "chroma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/chroma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "connect.png" "http://orsoc.se:4488/svn/fbas_encoder/connect.png" - check_svn_return_value - svn import -m "Import from OC" "fbas_encoder-0.21.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas_encoder-0.21.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-encoder_0.31.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas-encoder_0.31.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-enc_scrs1.jpg" "http://orsoc.se:4488/svn/fbas_encoder/fbas-enc_scrs1.jpg" - check_svn_return_value - svn import -m "Import from OC" "luma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/luma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "main.png" "http://orsoc.se:4488/svn/fbas_encoder/main.png" - check_svn_return_value - popd - pushd "fcpu" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/fcpu/*" - check_svn_return_value - popd - pushd "ffr16" - svn import -m "Import from OC" "FFR16.jpg" "http://orsoc.se:4488/svn/ffr16/FFR16.jpg" - check_svn_return_value - popd - pushd "fft_32" - popd - pushd "fftprocessor" - popd - pushd "fht" - svn import -m "Import from OC" "fht_tb.v" "http://orsoc.se:4488/svn/fht/fht_tb.v" - check_svn_return_value - svn import -m "Import from OC" "fht.v" "http://orsoc.se:4488/svn/fht/fht.v" - check_svn_return_value - popd - pushd "fifouart" - svn import -m "Import from OC" "UART_datasheet.pdf" "http://orsoc.se:4488/svn/fifouart/UART_datasheet.pdf" - check_svn_return_value - popd - pushd "filter" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/filter/*" - check_svn_return_value - popd - pushd "firewire" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/firewire/index.shtml" - check_svn_return_value - popd - pushd "fir_filter_generator" - svn import -m "Import from OC" "design-of-high-speed.pdf" "http://orsoc.se:4488/svn/fir_filter_generator/design-of-high-speed.pdf" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.0.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.1.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.1.zip" - check_svn_return_value - popd - pushd "flha" - popd - pushd "floatingcore" - popd - pushd "floating_point_adder_subtractor" - svn import -m "Import from OC" "addsub.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/addsub.vhd" - check_svn_return_value - svn import -m "Import from OC" "normalize.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/normalize.vhd" - check_svn_return_value - svn import -m "Import from OC" "shift.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/shift.vhd" - check_svn_return_value - popd - pushd "floppyif" - popd - pushd "fmtransmitter" - popd - pushd "fpga" - svn import -m "Import from OC" "docs.jar" "http://orsoc.se:4488/svn/fpga/docs.jar" - check_svn_return_value - svn import -m "Import from OC" "examples.jar" "http://orsoc.se:4488/svn/fpga/examples.jar" - check_svn_return_value - svn import -m "Import from OC" "Fpga.pdf" "http://orsoc.se:4488/svn/fpga/Fpga.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpga_sw.pdf" "http://orsoc.se:4488/svn/fpga/fpga_sw.pdf" - check_svn_return_value - svn import -m "Import from OC" "gpl.txt" "http://orsoc.se:4488/svn/fpga/gpl.txt" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.jar" "http://orsoc.se:4488/svn/fpga/KRPAN.jar" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.zip" "http://orsoc.se:4488/svn/fpga/KRPAN.zip" - check_svn_return_value - svn import -m "Import from OC" "opencores.cer" "http://orsoc.se:4488/svn/fpga/opencores.cer" - check_svn_return_value - svn import -m "Import from OC" "pwm12_8s.v" "http://orsoc.se:4488/svn/fpga/pwm12_8s.v" - check_svn_return_value - svn import -m "Import from OC" "sources.jar" "http://orsoc.se:4488/svn/fpga/sources.jar" - check_svn_return_value - svn import -m "Import from OC" "sshot1.gif" "http://orsoc.se:4488/svn/fpga/sshot1.gif" - check_svn_return_value - popd - pushd "fpgabsp" - popd - pushd "fpgaconfig" - svn import -m "Import from OC" "altera_config.png" "http://orsoc.se:4488/svn/fpgaconfig/altera_config.png" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig_system_block_diag.gif" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig_system_block_diag.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig.zip" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig.zip" - check_svn_return_value - popd - pushd "fpgaproto" - popd - pushd "fpipelines" - popd - pushd "fpu" - svn import -m "Import from OC" "DEADJOE" "http://orsoc.se:4488/svn/fpu/DEADJOE" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpu/index.shtml" - check_svn_return_value - popd - pushd "fpu100" - svn import -m "Import from OC" "bug_report_260407.txt" "http://orsoc.se:4488/svn/fpu100/bug_report_260407.txt" - check_svn_return_value - svn import -m "Import from OC" "fpu_doc.pdf" "http://orsoc.se:4488/svn/fpu100/fpu_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpu_v18.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v18.zip" - check_svn_return_value - svn import -m "Import from OC" "fpu_v19.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v19.zip" - check_svn_return_value - popd - pushd "fpu32bit" - popd - pushd "fpuvhdl" - popd - pushd "freetools" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/freetools/*" - check_svn_return_value - popd - pushd "froop" - popd - pushd "fsl2serial" - popd - pushd "gamepads" - svn import -m "Import from OC" "gcpad.png" "http://orsoc.se:4488/svn/gamepads/gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad.png" "http://orsoc.se:4488/svn/gamepads/snespad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/snespad_wire.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gcpad.png" "http://orsoc.se:4488/svn/gamepads/thumb_gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad.png" "http://orsoc.se:4488/svn/gamepads/thumb_snespad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/thumb_snespad_wire.jpg" - check_svn_return_value - popd - pushd "gcpu" - popd - pushd "generic_fifos" - popd - pushd "generic_fifovhd" - popd - pushd "gh_vhdl_library" - svn import -m "Import from OC" "gh_vhdl_lib_3_34.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_34.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_35.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_35.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_36.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_36.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_34.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_34.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_35.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_35.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_36.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_36.zip" - check_svn_return_value - popd - pushd "gig_ethernet_mac_core" - popd - pushd "gix96" - popd - pushd "gpio" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/gpio/index.shtml" - check_svn_return_value - popd - pushd "graphicallcd" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/graphicallcd/index.shtml" - check_svn_return_value - popd - pushd "graphiti" - svn import -m "Import from OC" "blockschaltbild.png" "http://orsoc.se:4488/svn/graphiti/blockschaltbild.png" - check_svn_return_value - svn import -m "Import from OC" "flowers.jpg" "http://orsoc.se:4488/svn/graphiti/flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "testbild.jpg" "http://orsoc.se:4488/svn/graphiti/testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/tflowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_flowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_testbild.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_tflowers.jpg" - check_svn_return_value - popd - pushd "gsc" - svn import -m "Import from OC" "btyacc.tar.gz" "http://orsoc.se:4488/svn/gsc/btyacc.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "graphviz-2.8.tar.gz" "http://orsoc.se:4488/svn/gsc/graphviz-2.8.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc-0.1.1.tar.gz" "http://orsoc.se:4488/svn/gsc/gsc-0.1.1.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc.pdf" "http://orsoc.se:4488/svn/gsc/gsc.pdf" - check_svn_return_value - svn import -m "Import from OC" "keystone.tar.gz" "http://orsoc.se:4488/svn/gsc/keystone.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "treecc-0.3.8.tar.gz" "http://orsoc.se:4488/svn/gsc/treecc-0.3.8.tar.gz" - check_svn_return_value - popd - pushd "gup" - svn import -m "Import from OC" "gator_ucomputer_v1.0.zip" "http://orsoc.se:4488/svn/gup/gator_ucomputer_v1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/gup_logo_thumb.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/thumb_gup_logo_thumb.jpg" - check_svn_return_value - popd - pushd "gzip" - popd - pushd "hamming" - popd - pushd "hamming_gen" - svn import -m "Import from OC" "hamming.zip" "http://orsoc.se:4488/svn/hamming_gen/hamming.zip" - check_svn_return_value - popd - pushd "hangyu" - popd - pushd "hasm" - popd - pushd "hdb3" - popd - pushd "hdbn" - popd - pushd "hdlc" - svn import -m "Import from OC" "HDLC_cont.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_cont.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.jpg" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.jpg" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.html" "http://orsoc.se:4488/svn/hdlc/hdlc_project.html" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.pdf" "http://orsoc.se:4488/svn/hdlc/hdlc_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_project.ps" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_top.ps" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/hdlc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/hdlc/wishlogo.ps" - check_svn_return_value - popd - pushd "help" - svn import -m "Import from OC" "exp1pf.gif" "http://orsoc.se:4488/svn/help/exp1pf.gif" - check_svn_return_value - svn import -m "Import from OC" "search.shtml" "http://orsoc.se:4488/svn/help/search.shtml" - check_svn_return_value - popd - pushd "hicovec" - popd - pushd "hierarch_unit" - popd - pushd "hmta" - popd - pushd "houmway" - popd - pushd "hpc-16" - popd - pushd "hpcmemory" - popd - pushd "hssdrc" - popd - pushd "ht_tunnel" - popd - pushd "hwlu" - popd - pushd "i2c" - svn import -m "Import from OC" "Block.gif" "http://orsoc.se:4488/svn/i2c/Block.gif" - check_svn_return_value - svn import -m "Import from OC" "i2c_rev03.pdf" "http://orsoc.se:4488/svn/i2c/i2c_rev03.pdf" - check_svn_return_value - svn import -m "Import from OC" "index_orig.shtml" "http://orsoc.se:4488/svn/i2c/index_orig.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/i2c/index.shtml" - check_svn_return_value - popd - pushd "i2clog" - svn import -m "Import from OC" "Documentation" "http://orsoc.se:4488/svn/i2clog/Documentation" - check_svn_return_value - svn import -m "Import from OC" "front" "http://orsoc.se:4488/svn/i2clog/front" - check_svn_return_value - svn import -m "Import from OC" "I2C_TrafficLogger.v" "http://orsoc.se:4488/svn/i2clog/I2C_TrafficLogger.v" - check_svn_return_value - popd - pushd "i2c_master_slave_core" - popd - pushd "i2c_slave" - svn import -m "Import from OC" "iic_slave_3.v" "http://orsoc.se:4488/svn/i2c_slave/iic_slave_3.v" - check_svn_return_value - popd - pushd "i2c_vhdl" - popd - pushd "i2s" - svn import -m "Import from OC" "dff.vhd" "http://orsoc.se:4488/svn/i2s/dff.vhd" - check_svn_return_value - svn import -m "Import from OC" "ebu_2_i2s.vhd" "http://orsoc.se:4488/svn/i2s/ebu_2_i2s.vhd" - check_svn_return_value - popd - pushd "i2s_interface" - svn import -m "Import from OC" "i2s_interface.zip" "http://orsoc.se:4488/svn/i2s_interface/i2s_interface.zip" - check_svn_return_value - popd - pushd "i2sparalell" - popd - pushd "ic6821" - svn import -m "Import from OC" "VHDL6821.vhd" "http://orsoc.se:4488/svn/ic6821/VHDL6821.vhd" - check_svn_return_value - popd - pushd "icu" - popd - pushd "ide" - popd - pushd "idea" - svn import -m "Import from OC" "block_opmode.tar.gz" "http://orsoc.se:4488/svn/idea/block_opmode.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "control.tar.gz" "http://orsoc.se:4488/svn/idea/control.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA core block.GIF" "http://orsoc.se:4488/svn/idea/IDEA core block.GIF" - check_svn_return_value - svn import -m "Import from OC" "idea_machine.tar.gz" "http://orsoc.se:4488/svn/idea/idea_machine.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA mechine block.GIF" "http://orsoc.se:4488/svn/idea/IDEA mechine block.GIF" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/idea/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "keys_generate.tar.gz" "http://orsoc.se:4488/svn/idea/keys_generate.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Paper_IES2001_sby.PDF" "http://orsoc.se:4488/svn/idea/Paper_IES2001_sby.PDF" - check_svn_return_value - svn import -m "Import from OC" "port_inout.tar.gz" "http://orsoc.se:4488/svn/idea/port_inout.tar.gz" - check_svn_return_value - popd - pushd "iiepci" - svn import -m "Import from OC" "iie_pci_back.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_back.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_diagram.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_front.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_front.jpg" - check_svn_return_value - popd - pushd "ima-adpcm" - popd - pushd "interface_vga80x40" - svn import -m "Import from OC" "FPGA_VGA_Electrical_Interface.png" "http://orsoc.se:4488/svn/interface_vga80x40/FPGA_VGA_Electrical_Interface.png" - check_svn_return_value - svn import -m "Import from OC" "if_vga80x40.zip" "http://orsoc.se:4488/svn/interface_vga80x40/if_vga80x40.zip" - check_svn_return_value - svn import -m "Import from OC" "VGA80x40_documentation.pdf" "http://orsoc.se:4488/svn/interface_vga80x40/VGA80x40_documentation.pdf" - check_svn_return_value - popd - pushd "ipchip" - popd - pushd "irda" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/irda/index.shtml" - check_svn_return_value - popd - pushd "iso7816-3" - svn import -m "Import from OC" "iso7816-3.tgz" "http://orsoc.se:4488/svn/iso7816-3/iso7816-3.tgz" - check_svn_return_value - popd - pushd "isp" - popd - pushd "jop" - popd - pushd "jpeg" - svn import -m "Import from OC" "DiagramaCompJPGen.png" "http://orsoc.se:4488/svn/jpeg/DiagramaCompJPGen.png" - check_svn_return_value - svn import -m "Import from OC" "floresconsubsamp211.jpg" "http://orsoc.se:4488/svn/jpeg/floresconsubsamp211.jpg" - check_svn_return_value - svn import -m "Import from OC" "floressinsubsamp.jpg" "http://orsoc.se:4488/svn/jpeg/floressinsubsamp.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ05PSP.JPG" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ05PSP.JPG" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ31.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ31.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ50.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ50.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosPSPQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosPSPQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosQ15.jpg" - check_svn_return_value - popd - pushd "jpegcompression" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/jpegcompression/*" - check_svn_return_value - popd - pushd "jtag" - svn import -m "Import from OC" "Boundary-Scan Architecture.pdf" "http://orsoc.se:4488/svn/jtag/Boundary-Scan Architecture.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/jtag/index.shtml" - check_svn_return_value - popd - pushd "k68" - popd - pushd "k7_viterbi_decoder" - popd - pushd "kad" - popd - pushd "kcpsm3_interrupt_handling" - popd - pushd "keyboardcontroller" - popd - pushd "keypad_scanner" - svn import -m "Import from OC" "keypad_scanner.v" "http://orsoc.se:4488/svn/keypad_scanner/keypad_scanner.v" - check_svn_return_value - popd - pushd "kiss-board" - popd - pushd "ksystem" - popd - pushd "l8051" - svn import -m "Import from OC" "L8051.tar" "http://orsoc.se:4488/svn/l8051/L8051.tar" - check_svn_return_value - popd - pushd "lcd" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/lcd/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterc.shtml" "http://orsoc.se:4488/svn/lcd/counterc.shtml" - check_svn_return_value - svn import -m "Import from OC" "counter.shtml" "http://orsoc.se:4488/svn/lcd/counter.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterv.shtml" "http://orsoc.se:4488/svn/lcd/counterv.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderc.shtml" "http://orsoc.se:4488/svn/lcd/decoderc.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderv.shtml" "http://orsoc.se:4488/svn/lcd/decoderv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresc.shtml" "http://orsoc.se:4488/svn/lcd/dffresc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresv.shtml" "http://orsoc.se:4488/svn/lcd/dffresv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dflipflop.shtml" "http://orsoc.se:4488/svn/lcd/dflipflop.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/lcd/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "LCD.ht1.gif" "http://orsoc.se:4488/svn/lcd/LCD.ht1.gif" - check_svn_return_value - svn import -m "Import from OC" "lcd.zip" "http://orsoc.se:4488/svn/lcd/lcd.zip" - check_svn_return_value - svn import -m "Import from OC" "mcc.shtml" "http://orsoc.se:4488/svn/lcd/mcc.shtml" - check_svn_return_value - svn import -m "Import from OC" "mcv.shtml" "http://orsoc.se:4488/svn/lcd/mcv.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramc.shtml" "http://orsoc.se:4488/svn/lcd/ramc.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramv.shtml" "http://orsoc.se:4488/svn/lcd/ramv.shtml" - check_svn_return_value - svn import -m "Import from OC" "struct.shtml" "http://orsoc.se:4488/svn/lcd/struct.shtml" - check_svn_return_value - svn import -m "Import from OC" "test.shtml" "http://orsoc.se:4488/svn/lcd/test.shtml" - check_svn_return_value - popd - pushd "lcd1" - popd - pushd "lcd_controller" - svn import -m "Import from OC" "AP.zip" "http://orsoc.se:4488/svn/lcd_controller/AP.zip" - check_svn_return_value - svn import -m "Import from OC" "CM920TUserGuide.pdf" "http://orsoc.se:4488/svn/lcd_controller/CM920TUserGuide.pdf" - check_svn_return_value - svn import -m "Import from OC" "ColorTFT-LCDController.ppt" "http://orsoc.se:4488/svn/lcd_controller/ColorTFT-LCDController.ppt" - check_svn_return_value - svn import -m "Import from OC" "DUI0146C_LM600.pdf" "http://orsoc.se:4488/svn/lcd_controller/DUI0146C_LM600.pdf" - check_svn_return_value - svn import -m "Import from OC" "tx18d16vm1caa.pdf" "http://orsoc.se:4488/svn/lcd_controller/tx18d16vm1caa.pdf" - check_svn_return_value - popd - pushd "ldpc_decoder_802_3an" - svn import -m "Import from OC" "ldpc_decoder_802_3an.tar.gz" "http://orsoc.se:4488/svn/ldpc_decoder_802_3an/ldpc_decoder_802_3an.tar.gz" - check_svn_return_value - popd - pushd "ldpc_encoder_802_3an" - svn import -m "Import from OC" "ldpc_encoder_802_3an.v.gz" "http://orsoc.se:4488/svn/ldpc_encoder_802_3an/ldpc_encoder_802_3an.v.gz" - check_svn_return_value - popd - pushd "lem1_9min" - svn import -m "Import from OC" "d3_lem1_9min_hw.ucf" "http://orsoc.se:4488/svn/lem1_9min/d3_lem1_9min_hw.ucf" - check_svn_return_value - svn import -m "Import from OC" "Form1.cs" "http://orsoc.se:4488/svn/lem1_9min/Form1.cs" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_asm.csproj" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_asm.csproj" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_defs.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_defs.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_hw.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_hw.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min.vhd" - check_svn_return_value - svn import -m "Import from OC" "trinity_talk_041205.pdf" "http://orsoc.se:4488/svn/lem1_9min/trinity_talk_041205.pdf" - check_svn_return_value - popd - pushd "light8080" - popd - pushd "lin-a" - popd - pushd "line_codes" - popd - pushd "linuxvcap" - popd - pushd "llc1394" - popd - pushd "log_anal" - popd - pushd "lowpowerfir" - svn import -m "Import from OC" "FIRLowPowerConsiderations.doc" "http://orsoc.se:4488/svn/lowpowerfir/FIRLowPowerConsiderations.doc" - check_svn_return_value - svn import -m "Import from OC" "fir.zip" "http://orsoc.se:4488/svn/lowpowerfir/fir.zip" - check_svn_return_value - popd - pushd "lpc" - popd - pushd "lpu" - svn import -m "Import from OC" "lpu.zip" "http://orsoc.se:4488/svn/lpu/lpu.zip" - check_svn_return_value - svn import -m "Import from OC" "Mem Driven Processor.doc" "http://orsoc.se:4488/svn/lpu/Mem Driven Processor.doc" - check_svn_return_value - popd - pushd "lq057q3dc02" - popd - pushd "lwmips" - popd - pushd "lwrisc" - svn import -m "Import from OC" "200735153855.bmp" "http://orsoc.se:4488/svn/lwrisc/200735153855.bmp" - check_svn_return_value - svn import -m "Import from OC" "200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_we.GIF" "http://orsoc.se:4488/svn/lwrisc/thumb_we.GIF" - check_svn_return_value - svn import -m "Import from OC" "we.GIF" "http://orsoc.se:4488/svn/lwrisc/we.GIF" - check_svn_return_value - popd - pushd "m1_core" - popd - pushd "mac" - popd - pushd "macroblock_motion_detection" - popd - pushd "maf" - popd - pushd "mafa-pc-board" - popd - pushd "man2uart" - svn import -m "Import from OC" "Man2uartopencores.txt" "http://orsoc.se:4488/svn/man2uart/Man2uartopencores.txt" - check_svn_return_value - popd - pushd "manchesterencoderdecoder" - svn import -m "Import from OC" "ME2.vhd" "http://orsoc.se:4488/svn/manchesterencoderdecoder/ME2.vhd" - check_svn_return_value - popd - pushd "marca" - popd - pushd "matrix3x3" - popd - pushd "maxii-evalboard" - svn import -m "Import from OC" "MAXII-Evalboard-V1.00-Designpackage.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard-V1.00-Designpackage.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_a.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_a.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_b.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_b.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_BOM.xls" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_BOM.xls" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Gerber&CAM.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Gerber&CAM.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB-Errata.txt" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB-Errata.txt" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Placement.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Placement.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Protel.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Protel.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Schem.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Schem.pdf" - check_svn_return_value - popd - pushd "mb-jpeg" - svn import -m "Import from OC" "mb-jpeg_STEP2_1b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_1b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP2_2b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_2b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP7_2.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP7_2.tar.bz2" - check_svn_return_value - popd - pushd "mcbsp" - popd - pushd "mcpu" - svn import -m "Import from OC" "mcpu_1.06b.zip" "http://orsoc.se:4488/svn/mcpu/mcpu_1.06b.zip" - check_svn_return_value - svn import -m "Import from OC" "mcpu-doc.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu-doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "mcpu.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu.pdf" - check_svn_return_value - popd - pushd "mcu8" - popd - pushd "md5" - popd - pushd "mdct" - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/mdct/block_diagram.jpg" - check_svn_return_value - popd - pushd "membist" - popd - pushd "mem_ctrl" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mem_ctrl/index.shtml" - check_svn_return_value - popd - pushd "memorycontroller" - popd - pushd "memory_cores" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_cores/index.shtml" - check_svn_return_value - popd - pushd "memory_sizer" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b10_safe_12_18_01_single_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_single_path.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/memory_sizer/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/memory_sizer/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_sizer/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer_dual_path.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer_dual_path.v" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer.v" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/memory_sizer/people.shtml" - check_svn_return_value - popd - pushd "mfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block.gif" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block_new.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block_new.gif" - check_svn_return_value - svn import -m "Import from OC" "micro_orcad.sch" "http://orsoc.se:4488/svn/mfpga/micro_orcad.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.lib" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.lib" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.sch" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_sch.pdf" "http://orsoc.se:4488/svn/mfpga/micro_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "xcv50.jpg" "http://orsoc.se:4488/svn/mfpga/xcv50.jpg" - check_svn_return_value - popd - pushd "micore" - popd - pushd "microprocessor" - popd - pushd "milsa" - popd - pushd "milstd1553bbusprotocol" - popd - pushd "mini-acex1k" - popd - pushd "mini_aes" - popd - pushd "minimips" - svn import -m "Import from OC" "miniMIPS.zip" "http://orsoc.se:4488/svn/minimips/miniMIPS.zip" - check_svn_return_value - popd - pushd "minirisc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/minirisc/index.shtml" - check_svn_return_value - popd - pushd "mips789" - svn import -m "Import from OC" "cal_PI_2.GIF" "http://orsoc.se:4488/svn/mips789/cal_PI_2.GIF" - check_svn_return_value - svn import -m "Import from OC" "MIPS789.bmp" "http://orsoc.se:4488/svn/mips789/MIPS789.bmp" - check_svn_return_value - svn import -m "Import from OC" "pi_2200.GIF" "http://orsoc.se:4488/svn/mips789/pi_2200.GIF" - check_svn_return_value - svn import -m "Import from OC" "topview.GIF" "http://orsoc.se:4488/svn/mips789/topview.GIF" - check_svn_return_value - popd - pushd "mipss" - svn import -m "Import from OC" "s70_32bit_to_9bit.vhd" "http://orsoc.se:4488/svn/mipss/s70_32bit_to_9bit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ALU.vhd" "http://orsoc.se:4488/svn/mipss/s70_ALU.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ctrl_unit.vhd" "http://orsoc.se:4488/svn/mipss/s70_ctrl_unit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem_comp.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem_comp.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_datapath.vhd" "http://orsoc.se:4488/svn/mipss/s70_datapath.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_Ext_S_Z.vhd" "http://orsoc.se:4488/svn/mipss/s70_Ext_S_Z.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_inc.vhd" "http://orsoc.se:4488/svn/mipss/s70_inc.vhd" - check_svn_return_value - popd - pushd "mmcfpgaconfig" - popd - pushd "moonshadow" - popd - pushd "most" - svn import -m "Import from OC" "MOST_Core_Compliance_Test_Specification.pdf" "http://orsoc.se:4488/svn/most/MOST_Core_Compliance_Test_Specification.pdf" - check_svn_return_value - svn import -m "Import from OC" "MOSTSpecification.pdf" "http://orsoc.se:4488/svn/most/MOSTSpecification.pdf" - check_svn_return_value - popd - pushd "most_core" - popd - pushd "motion_controller" - popd - pushd "motionestimator" - popd - pushd "motor" - popd - pushd "mp3decoder" - popd - pushd "mpdma" - svn import -m "Import from OC" "BlazeCluster_v0.14.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.14.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeCluster_v0.15.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.15.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.17.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.17.zip" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.1.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.1.zip" - check_svn_return_value - svn import -m "Import from OC" "koblenz8_20070902.zip" "http://orsoc.se:4488/svn/mpdma/koblenz8_20070902.zip" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061020.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061020.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023b.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023c.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023c.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "SoftwareMultiprocessoronFPGA20070608.pdf" "http://orsoc.se:4488/svn/mpdma/SoftwareMultiprocessoronFPGA20070608.pdf" - check_svn_return_value - popd - pushd "mpeg2decoder" - popd - pushd "mpeg4_video_coding" - popd - pushd "mpegencoderdecoder" - popd - pushd "mup" - popd - pushd "ncore" - svn import -m "Import from OC" "CASM.C" "http://orsoc.se:4488/svn/ncore/CASM.C" - check_svn_return_value - svn import -m "Import from OC" "NCORE2.V" "http://orsoc.se:4488/svn/ncore/NCORE2.V" - check_svn_return_value - svn import -m "Import from OC" "NCORE3.V" "http://orsoc.se:4488/svn/ncore/NCORE3.V" - check_svn_return_value - svn import -m "Import from OC" "nCore_doc.pdf" "http://orsoc.se:4488/svn/ncore/nCore_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "NCORE.tar.bz2" "http://orsoc.se:4488/svn/ncore/NCORE.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "nCore.v" "http://orsoc.se:4488/svn/ncore/nCore.v" - check_svn_return_value - svn import -m "Import from OC" "SIM.C" "http://orsoc.se:4488/svn/ncore/SIM.C" - check_svn_return_value - popd - pushd "nemo_emotion" - popd - pushd "neot" - popd - pushd "neptune-core" - svn import -m "Import from OC" "triton-block.png" "http://orsoc.se:4488/svn/neptune-core/triton-block.png" - check_svn_return_value - popd - pushd "nnARM" - svn import -m "Import from OC" "Arch118.pdf" "http://orsoc.se:4488/svn/nnARM/Arch118.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf.old" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf.old" - check_svn_return_value - svn import -m "Import from OC" "Architecture_jc.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture_jc.pdf" - check_svn_return_value - svn import -m "Import from OC" "BS.shtml" "http://orsoc.se:4488/svn/nnARM/BS.shtml" - check_svn_return_value - svn import -m "Import from OC" "default.htm" "http://orsoc.se:4488/svn/nnARM/default.htm" - check_svn_return_value - svn import -m "Import from OC" "Documentation.shtml" "http://orsoc.se:4488/svn/nnARM/Documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "Download.shtml" "http://orsoc.se:4488/svn/nnARM/Download.shtml" - check_svn_return_value - svn import -m "Import from OC" "GT.shtml" "http://orsoc.se:4488/svn/nnARM/GT.shtml" - check_svn_return_value - svn import -m "Import from OC" "index1.shtml" "http://orsoc.se:4488/svn/nnARM/index1.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml1" "http://orsoc.se:4488/svn/nnARM/index.shtml1" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/nnARM/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "Introduction.shtml" "http://orsoc.se:4488/svn/nnARM/Introduction.shtml" - check_svn_return_value - svn import -m "Import from OC" "News.htm" "http://orsoc.se:4488/svn/nnARM/News.htm" - check_svn_return_value - svn import -m "Import from OC" "News.shtml" "http://orsoc.se:4488/svn/nnARM/News.shtml" - check_svn_return_value - svn import -m "Import from OC" "nnARM.prog" "http://orsoc.se:4488/svn/nnARM/nnARM.prog" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_10_1.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_10_1.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_19.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_19.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_20.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_20.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_09_02.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_09_02.zip" - check_svn_return_value - svn import -m "Import from OC" "People.htm" "http://orsoc.se:4488/svn/nnARM/People.htm" - check_svn_return_value - svn import -m "Import from OC" "People.shtml" "http://orsoc.se:4488/svn/nnARM/People.shtml" - check_svn_return_value - svn import -m "Import from OC" "PR.shtml" "http://orsoc.se:4488/svn/nnARM/PR.shtml" - check_svn_return_value - svn import -m "Import from OC" "put.JPG" "http://orsoc.se:4488/svn/nnARM/put.JPG" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_08_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_08_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_15_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_15_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_19_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_19_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_20_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_20_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_30_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_30_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_08_30_3.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_08_30_3.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_02_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_02_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_05_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_05_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_11_1_3.zip.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_11_1_3.zip.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM_tb.zip" "http://orsoc.se:4488/svn/nnARM/sARM_tb.zip" - check_svn_return_value - svn import -m "Import from OC" "tag3.bmp" "http://orsoc.se:4488/svn/nnARM/tag3.bmp" - check_svn_return_value - svn import -m "Import from OC" "Testbench" "http://orsoc.se:4488/svn/nnARM/Testbench" - check_svn_return_value - svn import -m "Import from OC" "topFrame.htm" "http://orsoc.se:4488/svn/nnARM/topFrame.htm" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.jpg" "http://orsoc.se:4488/svn/nnARM/wishlogo.jpg" - check_svn_return_value - popd - pushd "nocem" - popd - pushd "noise_reduction" - popd - pushd "nonrestoringsquareroot" - popd - pushd "nova" - popd - pushd "npigrctrl" - svn import -m "Import from OC" "demo.png" "http://orsoc.se:4488/svn/npigrctrl/demo.png" - check_svn_return_value - svn import -m "Import from OC" "mpmc4.rar" "http://orsoc.se:4488/svn/npigrctrl/mpmc4.rar" - check_svn_return_value - svn import -m "Import from OC" "npi_eng.vhd" "http://orsoc.se:4488/svn/npigrctrl/npi_eng.vhd" - check_svn_return_value - popd - pushd "oab1" - svn import -m "Import from OC" "index.htm" "http://orsoc.se:4488/svn/oab1/index.htm" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/oab1/title_logo.gif" - check_svn_return_value - svn import -m "Import from OC" "ver01.JPG" "http://orsoc.se:4488/svn/oab1/ver01.JPG" - check_svn_return_value - svn import -m "Import from OC" "ver02.jpg" "http://orsoc.se:4488/svn/oab1/ver02.jpg" - check_svn_return_value - popd - pushd "oberon" - popd - pushd "ocmips" - svn import -m "Import from OC" "fpga.gif" "http://orsoc.se:4488/svn/ocmips/fpga.gif" - check_svn_return_value - svn import -m "Import from OC" "opencores.gif" "http://orsoc.se:4488/svn/ocmips/opencores.gif" - check_svn_return_value - svn import -m "Import from OC" "sim.GIF" "http://orsoc.se:4488/svn/ocmips/sim.GIF" - check_svn_return_value - popd - pushd "ocp_wb_wrapper" - popd - pushd "ocrp-1" - svn import -m "Import from OC" "block.gif" "http://orsoc.se:4488/svn/ocrp-1/block.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ocrp-1/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_bill_of_materials.txt" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_bill_of_materials.txt" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_gerber.tar.gz" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_gerber.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ocrp1.jpg" "http://orsoc.se:4488/svn/ocrp-1/ocrp1.jpg" - check_svn_return_value - svn import -m "Import from OC" "ocrp1ord.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp1ord.pdf" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_sch.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCB1-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB1-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCB2-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB2-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic1.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic1.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic2.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic2.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic3.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic3.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic4.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic4.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic7.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic7.jpg" - check_svn_return_value - svn import -m "Import from OC" "xc95288xl_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xc95288xl_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv100_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv100_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv50_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv50_tq144.bsd" - check_svn_return_value - popd - pushd "ofdm" - popd - pushd "ofdm-baseband-receiver" - popd - pushd "ofdm_modulator" - popd - pushd "oks8" - popd - pushd "omega" - popd - pushd "opb_i2c" - popd - pushd "opb_isa" - popd - pushd "opb_onewire" - popd - pushd "opb_ps2_keyboard_controller" - popd - pushd "opb_psram_controller" - popd - pushd "opb_udp_transceiver" - popd - pushd "opb_vga_char_display_nodac" - popd - pushd "opb_wb_wrapper" - popd - pushd "open_1394_intellectual_property" - popd - pushd "open8_urisc" - popd - pushd "openarm" - popd - pushd "opencores" - svn import -m "Import from OC" "27dec03_IrishTimes.pdf" "http://orsoc.se:4488/svn/opencores/27dec03_IrishTimes.pdf" - check_svn_return_value - svn import -m "Import from OC" "bottom.jpg" "http://orsoc.se:4488/svn/opencores/bottom.jpg" - check_svn_return_value - svn import -m "Import from OC" "dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "logos" "http://orsoc.se:4488/svn/opencores/logos" - check_svn_return_value - svn import -m "Import from OC" "mdl_logo.jpg" "http://orsoc.se:4488/svn/opencores/mdl_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "ORSoC_logo.jpg" "http://orsoc.se:4488/svn/opencores/ORSoC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "press" "http://orsoc.se:4488/svn/opencores/press" - check_svn_return_value - svn import -m "Import from OC" "regionalbreakdown.png" "http://orsoc.se:4488/svn/opencores/regionalbreakdown.png" - check_svn_return_value - svn import -m "Import from OC" "siteranking.png" "http://orsoc.se:4488/svn/opencores/siteranking.png" - check_svn_return_value - svn import -m "Import from OC" "sponsors" "http://orsoc.se:4488/svn/opencores/sponsors" - check_svn_return_value - svn import -m "Import from OC" "thumb_dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/thumb_dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "Ultimodule_Logo_Blue.JPG" "http://orsoc.se:4488/svn/opencores/Ultimodule_Logo_Blue.JPG" - check_svn_return_value - popd - pushd "opencpu678085" - popd - pushd "openfire" - popd - pushd "openfire2" - svn import -m "Import from OC" "freertos.zip" "http://orsoc.se:4488/svn/openfire2/freertos.zip" - check_svn_return_value - svn import -m "Import from OC" "targetselection.itb" "http://orsoc.se:4488/svn/openfire2/targetselection.itb" - check_svn_return_value - popd - pushd "openfire_core" - popd - pushd "openh263" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/openh263/index.shtml" - check_svn_return_value - popd - pushd "openriscdevboard" - svn import -m "Import from OC" "altera_dev_brd.zip" "http://orsoc.se:4488/svn/openriscdevboard/altera_dev_brd.zip" - check_svn_return_value - svn import -m "Import from OC" "cyc2-openrisc.zip" "http://orsoc.se:4488/svn/openriscdevboard/cyc2-openrisc.zip" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfigEval_V1_2.zip" "http://orsoc.se:4488/svn/openriscdevboard/fpgaConfigEval_V1_2.zip" - check_svn_return_value - svn import -m "Import from OC" "usbPlusUart.zip" "http://orsoc.se:4488/svn/openriscdevboard/usbPlusUart.zip" - check_svn_return_value - popd - pushd "open_tcpip" - popd - pushd "opentech" - svn import -m "Import from OC" "changes_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "content.txt" "http://orsoc.se:4488/svn/opentech/content.txt" - check_svn_return_value - svn import -m "Import from OC" "covers.zip" "http://orsoc.se:4488/svn/opentech/covers.zip" - check_svn_return_value - svn import -m "Import from OC" "icon.gif" "http://orsoc.se:4488/svn/opentech/icon.gif" - check_svn_return_value - svn import -m "Import from OC" "icon.jpg" "http://orsoc.se:4488/svn/opentech/icon.jpg" - check_svn_return_value - svn import -m "Import from OC" "icon.png" "http://orsoc.se:4488/svn/opentech/icon.png" - check_svn_return_value - svn import -m "Import from OC" "logo_full.jpg" "http://orsoc.se:4488/svn/opentech/logo_full.jpg" - check_svn_return_value - svn import -m "Import from OC" "OpenTech_Info.xls" "http://orsoc.se:4488/svn/opentech/OpenTech_Info.xls" - check_svn_return_value - svn import -m "Import from OC" "OpenTechnologies_small.gif" "http://orsoc.se:4488/svn/opentech/OpenTechnologies_small.gif" - check_svn_return_value - svn import -m "Import from OC" "OT_Contents.zip" "http://orsoc.se:4488/svn/opentech/OT_Contents.zip" - check_svn_return_value - popd - pushd "openverifla" - svn import -m "Import from OC" "verifla_keyboard_protocol_verification_50procent.jpg" "http://orsoc.se:4488/svn/openverifla/verifla_keyboard_protocol_verification_50procent.jpg" - check_svn_return_value - popd - pushd "or1200gct" - popd - pushd "or1k-cf" - popd - pushd "or1k-new" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/or1k-new/index.shtml" - check_svn_return_value - popd - pushd "ovcodec" - svn import -m "Import from OC" "ogg_files.zip" "http://orsoc.se:4488/svn/ovcodec/ogg_files.zip" - check_svn_return_value - popd - pushd "pap" - popd - pushd "pavr" - svn import -m "Import from OC" "pavr032.chm.zip" "http://orsoc.se:4488/svn/pavr/pavr032.chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr032-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032.html.zip" "http://orsoc.se:4488/svn/pavr/pavr032.html.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-chm.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-html.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-html.zip" - check_svn_return_value - svn import -m "Import from OC" "todo.html" "http://orsoc.se:4488/svn/pavr/todo.html" - check_svn_return_value - popd - pushd "pci" - svn import -m "Import from OC" "charact.shtml" "http://orsoc.se:4488/svn/pci/charact.shtml" - check_svn_return_value - svn import -m "Import from OC" "contacts.shtml" "http://orsoc.se:4488/svn/pci/contacts.shtml" - check_svn_return_value - svn import -m "Import from OC" "current_stat.shtml" "http://orsoc.se:4488/svn/pci/current_stat.shtml" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/pci/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/pci/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/pci/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "links.shtml" "http://orsoc.se:4488/svn/pci/links.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_HOST_architecture.jpg" "http://orsoc.se:4488/svn/pci/PCI_HOST_architecture.jpg" - check_svn_return_value - svn import -m "Import from OC" "pci_parity.html" "http://orsoc.se:4488/svn/pci/pci_parity.html" - check_svn_return_value - svn import -m "Import from OC" "pci_prototype.shtml" "http://orsoc.se:4488/svn/pci/pci_prototype.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCIsim.shtml" "http://orsoc.se:4488/svn/pci/PCIsim.shtml" - check_svn_return_value - svn import -m "Import from OC" "pci_snapshots.shtml" "http://orsoc.se:4488/svn/pci/pci_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_conn.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_conn.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_cristal.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_cristal.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.gif" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_test_brd.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_test_brd.gif" - check_svn_return_value - svn import -m "Import from OC" "pcixwin.jpg" "http://orsoc.se:4488/svn/pci/pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00022.jpg" "http://orsoc.se:4488/svn/pci/Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00026.jpg" "http://orsoc.se:4488/svn/pci/Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00027.jpg" "http://orsoc.se:4488/svn/pci/Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00028.jpg" "http://orsoc.se:4488/svn/pci/Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00037.jpg" "http://orsoc.se:4488/svn/pci/Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "pics" "http://orsoc.se:4488/svn/pci/pics" - check_svn_return_value - svn import -m "Import from OC" "references.shtml" "http://orsoc.se:4488/svn/pci/references.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_app.shtml" "http://orsoc.se:4488/svn/pci/test_app.shtml" - check_svn_return_value - svn import -m "Import from OC" "testbench.shtml" "http://orsoc.se:4488/svn/pci/testbench.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_board.shtml" "http://orsoc.se:4488/svn/pci/test_board.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_driver.shtml" "http://orsoc.se:4488/svn/pci/test_driver.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_snapshots.shtml" "http://orsoc.se:4488/svn/pci/test_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "thumb_pcixwin.jpg" "http://orsoc.se:4488/svn/pci/thumb_pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00022.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00026.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00027.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00028.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00037.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "todo_list.shtml" "http://orsoc.se:4488/svn/pci/todo_list.shtml" - check_svn_return_value - popd - pushd "pci32tlite_oc" - popd - pushd "pci-board" - svn import -m "Import from OC" "PCI-Board.jpeg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpeg" - check_svn_return_value - svn import -m "Import from OC" "PCI-Board.jpg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI-CARD-SCH-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-CARD-SCH-v1.0.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI-Card-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-Card-v1.0.pdf" - check_svn_return_value - popd - pushd "pci_controller" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/pci_controller/*" - check_svn_return_value - popd - pushd "pcie_vera_tb" - popd - pushd "pci_express" - popd - pushd "pci_express_crc" - popd - pushd "pci_ide_controller" - popd - pushd "pci_mini" - svn import -m "Import from OC" "PCI_Mini_IP_core_Datasheet2.0_oc.pdf" "http://orsoc.se:4488/svn/pci_mini/PCI_Mini_IP_core_Datasheet2.0_oc.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI_mini.zip" "http://orsoc.se:4488/svn/pci_mini/PCI_mini.zip" - check_svn_return_value - popd - pushd "pcix" - popd - pushd "pcmcia" - popd - pushd "performance_counter" - svn import -m "Import from OC" "PeformanceCounterforMicroblazev0.1.zip" "http://orsoc.se:4488/svn/performance_counter/PeformanceCounterforMicroblazev0.1.zip" - check_svn_return_value - popd - pushd "perlilog" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/perlilog/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "old-index.shtml" "http://orsoc.se:4488/svn/perlilog/old-index.shtml" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.2.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.2.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.3.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.3.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.2.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.2.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.3.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.3.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog.tar.gz" "http://orsoc.se:4488/svn/perlilog/perlilog.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog.zip" "http://orsoc.se:4488/svn/perlilog/perlilog.zip" - check_svn_return_value - popd - pushd "phoenix_controller" - popd - pushd "pic8259" - popd - pushd "picoblaze_interrupt_controller" - svn import -m "Import from OC" "Pblaze_IntController-061221.zip" "http://orsoc.se:4488/svn/picoblaze_interrupt_controller/Pblaze_IntController-061221.zip" - check_svn_return_value - popd - pushd "pif2wb" - popd - pushd "pipelined_aes" - popd - pushd "pipelined_dct" - popd - pushd "piranha" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/piranha/*" - check_svn_return_value - popd - pushd "power_inverter" - popd - pushd "ppcnorthbridge" - popd - pushd "ppx16" - popd - pushd "product_code_iterative_decoder" - popd - pushd "profibus_dp" - svn import -m "Import from OC" "vhdl_source_files.zip" "http://orsoc.se:4488/svn/profibus_dp/vhdl_source_files.zip" - check_svn_return_value - popd - pushd "programmabledct" - popd - pushd "project" - svn import -m "Import from OC" "datapath.pdf" "http://orsoc.se:4488/svn/project/datapath.pdf" - check_svn_return_value - svn import -m "Import from OC" "Informations.doc" "http://orsoc.se:4488/svn/project/Informations.doc" - check_svn_return_value - svn import -m "Import from OC" "memories_core_jenerator_implementations.rar" "http://orsoc.se:4488/svn/project/memories_core_jenerator_implementations.rar" - check_svn_return_value - svn import -m "Import from OC" "Readme-Instructions.doc" "http://orsoc.se:4488/svn/project/Readme-Instructions.doc" - check_svn_return_value - svn import -m "Import from OC" "RegFile_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/RegFile_SystemC_implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "systemC_Implementation.rar" "http://orsoc.se:4488/svn/project/systemC_Implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "Xilinx_project_from_files_from_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/Xilinx_project_from_files_from_SystemC_implementation.rar" - check_svn_return_value - popd - pushd "ps2" - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/ps2/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/ps2/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ps2/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/ps2/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "ps2_keyboard.v" "http://orsoc.se:4488/svn/ps2/ps2_keyboard.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_mouse.v" "http://orsoc.se:4488/svn/ps2/ps2_mouse.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc1.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc2.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc2.zip" - check_svn_return_value - popd - pushd "ps2core" - popd - pushd "ptc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ptc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ptc_spec.pdf" "http://orsoc.se:4488/svn/ptc/ptc_spec.pdf" - check_svn_return_value - popd - pushd "pyramid_unit" - popd - pushd "quadraturecount" - popd - pushd "r2000" - popd - pushd "radixrsa" - svn import -m "Import from OC" "core.shtml" "http://orsoc.se:4488/svn/radixrsa/core.shtml" - check_svn_return_value - svn import -m "Import from OC" "doc.shtml" "http://orsoc.se:4488/svn/radixrsa/doc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dotty.gif" "http://orsoc.se:4488/svn/radixrsa/dotty.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/radixrsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "montgo.jpg" "http://orsoc.se:4488/svn/radixrsa/montgo.jpg" - check_svn_return_value - svn import -m "Import from OC" "RSAAlgorithm.pdf" "http://orsoc.se:4488/svn/radixrsa/RSAAlgorithm.pdf" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/radixrsa/title_logo.gif" - check_svn_return_value - popd - pushd "raggedstone" - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/raggedstone/README" - check_svn_return_value - popd - pushd "rc5-72" - popd - pushd "rc5_decoder" - popd - pushd "rfid" - svn import -m "Import from OC" "7Prog.pdf" "http://orsoc.se:4488/svn/rfid/7Prog.pdf" - check_svn_return_value - svn import -m "Import from OC" "TheMultiTagTesterFinal.exe" "http://orsoc.se:4488/svn/rfid/TheMultiTagTesterFinal.exe" - check_svn_return_value - popd - pushd "rijndael" - svn import -m "Import from OC" "dekrip_files" "http://orsoc.se:4488/svn/rijndael/dekrip_files" - check_svn_return_value - svn import -m "Import from OC" "dekrip.htm" "http://orsoc.se:4488/svn/rijndael/dekrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip_files" "http://orsoc.se:4488/svn/rijndael/enkrip_files" - check_svn_return_value - svn import -m "Import from OC" "enkrip.htm" "http://orsoc.se:4488/svn/rijndael/enkrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip.pdf" "http://orsoc.se:4488/svn/rijndael/enkrip.pdf" - check_svn_return_value - popd - pushd "risc16f84" - svn import -m "Import from OC" "b13c_environment.zip" "http://orsoc.se:4488/svn/risc16f84/b13c_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/risc16f84/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/risc16f84/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/risc16f84/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/risc16f84/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_clk2x.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_clk2x.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_lite.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_lite.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_small.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_small.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84.v" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/risc16f84/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "risc36" - popd - pushd "risc5x" - svn import -m "Import from OC" "hex_conv.zip" "http://orsoc.se:4488/svn/risc5x/hex_conv.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.0.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.1.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.1.zip" - check_svn_return_value - popd - pushd "risc_core_i" - svn import -m "Import from OC" "risc_core_I.zip" "http://orsoc.se:4488/svn/risc_core_i/risc_core_I.zip" - check_svn_return_value - svn import -m "Import from OC" "RISCCore.pdf" "http://orsoc.se:4488/svn/risc_core_i/RISCCore.pdf" - check_svn_return_value - svn import -m "Import from OC" "vhdl files.zip" "http://orsoc.se:4488/svn/risc_core_i/vhdl files.zip" - check_svn_return_value - svn import -m "Import from OC" "Zusammenfassung.pdf" "http://orsoc.se:4488/svn/risc_core_i/Zusammenfassung.pdf" - check_svn_return_value - popd - pushd "riscmcu" - svn import -m "Import from OC" "BlockDiagram.gif" "http://orsoc.se:4488/svn/riscmcu/BlockDiagram.gif" - check_svn_return_value - popd - pushd "risc_processor_with_os" - popd - pushd "rise" - popd - pushd "rng_lib" - svn import -m "Import from OC" "rng_lib_v10.zip" "http://orsoc.se:4488/svn/rng_lib/rng_lib_v10.zip" - check_svn_return_value - popd - pushd "robot_control_library" - svn import -m "Import from OC" "documentation.zip" "http://orsoc.se:4488/svn/robot_control_library/documentation.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PID_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PID_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PS2_Joypad_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PS2_Joypad_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Quadrature_Encoder_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Quadrature_Encoder_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Stepper_Control_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Stepper_Control_v1_00_a.zip" - check_svn_return_value - popd - pushd "rosetta" - popd - pushd "rs232_syscon" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/rs232_syscon/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b11_risc16f84_05_03_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b11_risc16f84_05_03_02.zip" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b13_safe_09_17_02.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/rs232_syscon/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/rs232_syscon/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "Image4.gif" "http://orsoc.se:4488/svn/rs232_syscon/Image4.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rs232_syscon/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/rs232_syscon/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_00_source.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_00_source.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_01_xsoc.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_01_xsoc.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon1.doc" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon1.doc" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_autobaud.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_autobaud.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.htm" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.htm" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.pdf" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.pdf" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc1.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc2.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc2.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc3.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc3.zip" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/rs232_syscon/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "rs_5_3_gf256" - svn import -m "Import from OC" "ReedSolomon(5,3)Codec.ppt" "http://orsoc.se:4488/svn/rs_5_3_gf256/ReedSolomon(5,3)Codec.ppt" - check_svn_return_value - popd - pushd "rsa" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "rsa" "http://orsoc.se:4488/svn/rsa/rsa" - check_svn_return_value - svn import -m "Import from OC" "RSA.htm" "http://orsoc.se:4488/svn/rsa/RSA.htm" - check_svn_return_value - svn import -m "Import from OC" "RSA.shtml" "http://orsoc.se:4488/svn/rsa/RSA.shtml" - check_svn_return_value - popd - pushd "rs_decoder_31_19_6" - popd - pushd "rsencoder" - svn import -m "Import from OC" "readme.txt" "http://orsoc.se:4488/svn/rsencoder/readme.txt" - check_svn_return_value - svn import -m "Import from OC" "reed_solomon.v" "http://orsoc.se:4488/svn/rsencoder/reed_solomon.v" - check_svn_return_value - svn import -m "Import from OC" "rs_testbench.v" "http://orsoc.se:4488/svn/rsencoder/rs_testbench.v" - check_svn_return_value - popd - pushd "s1_core" - popd - pushd "sardmips" - popd - pushd "sasc" - popd - pushd "sata1a" - popd - pushd "sayeh_processor" - popd - pushd "sbd_sqrt_fp" - popd - pushd "sc2v" - popd - pushd "scarm" - svn import -m "Import from OC" "arm1.JPG" "http://orsoc.se:4488/svn/scarm/arm1.JPG" - check_svn_return_value - svn import -m "Import from OC" "chinese" "http://orsoc.se:4488/svn/scarm/chinese" - check_svn_return_value - svn import -m "Import from OC" "english" "http://orsoc.se:4488/svn/scarm/english" - check_svn_return_value - svn import -m "Import from OC" "images" "http://orsoc.se:4488/svn/scarm/images" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/scarm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "main.shtml" "http://orsoc.se:4488/svn/scarm/main.shtml" - check_svn_return_value - svn import -m "Import from OC" "src.zip" "http://orsoc.se:4488/svn/scarm/src.zip" - check_svn_return_value - svn import -m "Import from OC" "test" "http://orsoc.se:4488/svn/scarm/test" - check_svn_return_value - svn import -m "Import from OC" "test.zip" "http://orsoc.se:4488/svn/scarm/test.zip" - check_svn_return_value - popd - pushd "scsi_interface" - popd - pushd "sdram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sdram/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml2" "http://orsoc.se:4488/svn/sdram/index.shtml2" - check_svn_return_value - svn import -m "Import from OC" "intefacing block diagram.gif" "http://orsoc.se:4488/svn/sdram/intefacing block diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "interfacing_block_diagram.gif" "http://orsoc.se:4488/svn/sdram/interfacing_block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "sdram_doc.pdf" "http://orsoc.se:4488/svn/sdram/sdram_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "sdram.html" "http://orsoc.se:4488/svn/sdram/sdram.html" - check_svn_return_value - svn import -m "Import from OC" "sdram_ip_doc_preliminary.pdf" "http://orsoc.se:4488/svn/sdram/sdram_ip_doc_preliminary.pdf" - check_svn_return_value - popd - pushd "sdram_ctrl" - popd - pushd "sdr_sdram_ctrl" - popd - pushd "serial_div_uu" - svn import -m "Import from OC" "pwm_reader.v" "http://orsoc.se:4488/svn/serial_div_uu/pwm_reader.v" - check_svn_return_value - svn import -m "Import from OC" "serial_divide_uu.v" "http://orsoc.se:4488/svn/serial_div_uu/serial_divide_uu.v" - check_svn_return_value - popd - pushd "serpent_core" - popd - pushd "sfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-2_protel_sch.zip" "http://orsoc.se:4488/svn/sfpga/ocrp-2_protel_sch.zip" - check_svn_return_value - svn import -m "Import from OC" "OCRP-2_sch_preliminary.pdf" "http://orsoc.se:4488/svn/sfpga/OCRP-2_sch_preliminary.pdf" - check_svn_return_value - svn import -m "Import from OC" "sfpga_block.gif" "http://orsoc.se:4488/svn/sfpga/sfpga_block.gif" - check_svn_return_value - popd - pushd "sha1" - svn import -m "Import from OC" "sha1_readme_v01.txt" "http://orsoc.se:4488/svn/sha1/sha1_readme_v01.txt" - check_svn_return_value - svn import -m "Import from OC" "sha1_v01.zip" "http://orsoc.se:4488/svn/sha1/sha1_v01.zip" - check_svn_return_value - popd - pushd "sha_core" - popd - pushd "simpcon" - popd - pushd "simplearm" - popd - pushd "simple-cpu" - popd - pushd "simple_fm_receiver" - popd - pushd "simple_gpio" - popd - pushd "simple_pic" - popd - pushd "simple_spi" - popd - pushd "simple_uart" - svn import -m "Import from OC" "simpleUart.zip" "http://orsoc.se:4488/svn/simple_uart/simpleUart.zip" - check_svn_return_value - popd - pushd "single_clock_divider" - popd - pushd "single_port" - svn import -m "Import from OC" "single_port.tar.gz" "http://orsoc.se:4488/svn/single_port/single_port.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "single_port.zip" "http://orsoc.se:4488/svn/single_port/single_port.zip" - check_svn_return_value - popd - pushd "slave_vme_bridge" - popd - pushd "smallarm" - popd - pushd "smbus_if" - svn import -m "Import from OC" "smbus_if.doc" "http://orsoc.se:4488/svn/smbus_if/smbus_if.doc" - check_svn_return_value - popd - pushd "socbuilder" - popd - pushd "soft_core_risc_microprocessor_design_enabling_the_port_of_an_os" - popd - pushd "sonet" - svn import -m "Import from OC" "blockdia.doc" "http://orsoc.se:4488/svn/sonet/blockdia.doc" - check_svn_return_value - svn import -m "Import from OC" "overview.doc" "http://orsoc.se:4488/svn/sonet/overview.doc" - check_svn_return_value - popd - pushd "spacewire" - svn import -m "Import from OC" "Router.JPG" "http://orsoc.se:4488/svn/spacewire/Router.JPG" - check_svn_return_value - svn import -m "Import from OC" "SpWinterfacewithCODEC.JPG" "http://orsoc.se:4488/svn/spacewire/SpWinterfacewithCODEC.JPG" - check_svn_return_value - popd - pushd "spacewire_if" - popd - pushd "spates" - popd - pushd "spdif_interface" - popd - pushd "spi" - popd - pushd "spi_boot" - popd - pushd "spicc" - popd - pushd "spiflashcontroller" - popd - pushd "spimaster" - svn import -m "Import from OC" "spiMaster.zip" "http://orsoc.se:4488/svn/spimaster/spiMaster.zip" - check_svn_return_value - popd - pushd "spi_slave" - popd - pushd "spi-slave" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/spi-slave/*" - check_svn_return_value - popd - pushd "srl_fifo" - popd - pushd "srtdivision" - popd - pushd "ss_pcm" - popd - pushd "ssram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ssram/index.shtml" - check_svn_return_value - popd - pushd "steppermotordrive" - popd - pushd "sts1" - svn import -m "Import from OC" "spe.vhd" "http://orsoc.se:4488/svn/sts1/spe.vhd" - check_svn_return_value - popd - pushd "svmac" - popd - pushd "sxp" - svn import -m "Import from OC" "sxp_block.gif" "http://orsoc.se:4488/svn/sxp/sxp_block.gif" - check_svn_return_value - popd - pushd "system05" - popd - pushd "system09" - svn import -m "Import from OC" "index.html" "http://orsoc.se:4488/svn/system09/index.html" - check_svn_return_value - svn import -m "Import from OC" "System09-oc-6sep03.zip" "http://orsoc.se:4488/svn/system09/System09-oc-6sep03.zip" - check_svn_return_value - svn import -m "Import from OC" "xbasic.s19" "http://orsoc.se:4488/svn/system09/xbasic.s19" - check_svn_return_value - popd - pushd "system11" - svn import -m "Import from OC" "Sys11_X300_5sep03.zip" "http://orsoc.se:4488/svn/system11/Sys11_X300_5sep03.zip" - check_svn_return_value - popd - pushd "system68" - svn import -m "Import from OC" "Sys68-X300-17jan04.zip" "http://orsoc.se:4488/svn/system68/Sys68-X300-17jan04.zip" - check_svn_return_value - popd - pushd "system6801" - svn import -m "Import from OC" "System6801.zip" "http://orsoc.se:4488/svn/system6801/System6801.zip" - check_svn_return_value - svn import -m "Import from OC" "utilities.zip" "http://orsoc.se:4488/svn/system6801/utilities.zip" - check_svn_return_value - popd - pushd "systemcaes" - popd - pushd "systemc_cordic" - popd - pushd "systemcdes" - popd - pushd "systemcmd5" - popd - pushd "systemc_rng" - popd - pushd "t400" - popd - pushd "t48" - popd - pushd "t51" - popd - pushd "t65" - popd - pushd "t80" - popd - pushd "t8000" - popd - pushd "tdm" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/tdm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.jpg" "http://orsoc.se:4488/svn/tdm/tdm_core.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.ps" "http://orsoc.se:4488/svn/tdm/tdm_core.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.html" "http://orsoc.se:4488/svn/tdm/tdm_project.html" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.pdf" "http://orsoc.se:4488/svn/tdm/tdm_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.ps" "http://orsoc.se:4488/svn/tdm/tdm_project.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_top.ps" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/tdm/wishlogo.ps" - check_svn_return_value - popd - pushd "tdm_switch" - svn import -m "Import from OC" "map.dat" "http://orsoc.se:4488/svn/tdm_switch/map.dat" - check_svn_return_value - svn import -m "Import from OC" "ModelSim_Edition.exe" "http://orsoc.se:4488/svn/tdm_switch/ModelSim_Edition.exe" - check_svn_return_value - svn import -m "Import from OC" "stream_0.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_0.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_1.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_1.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_2.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_2.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_3.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_3.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_4.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_4.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_5.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_5.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_6.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_6.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_7.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_7.dat" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_b.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_b.v" - check_svn_return_value - svn import -m "Import from OC" "TDM_Switch_DS.pdf" "http://orsoc.se:4488/svn/tdm_switch/TDM_Switch_DS.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.sdf" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.sdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.v" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top.v" - check_svn_return_value - svn import -m "Import from OC" "testbench_top.v" "http://orsoc.se:4488/svn/tdm_switch/testbench_top.v" - check_svn_return_value - popd - pushd "template" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/template/index.shtml" - check_svn_return_value - popd - pushd "test" - svn import -m "Import from OC" "apple.gif" "http://orsoc.se:4488/svn/test/apple.gif" - check_svn_return_value - svn import -m "Import from OC" "FLEX_w_CMYK_R_LG.jpg" "http://orsoc.se:4488/svn/test/FLEX_w_CMYK_R_LG.jpg" - check_svn_return_value - svn import -m "Import from OC" "include1.ssi" "http://orsoc.se:4488/svn/test/include1.ssi" - check_svn_return_value - svn import -m "Import from OC" "include2.ssi" "http://orsoc.se:4488/svn/test/include2.ssi" - check_svn_return_value - popd - pushd "test1" - svn import -m "Import from OC" "arrow_ltr.gif" "http://orsoc.se:4488/svn/test1/arrow_ltr.gif" - check_svn_return_value - svn import -m "Import from OC" "sed_awk.pdf" "http://orsoc.se:4488/svn/test1/sed_awk.pdf" - check_svn_return_value - popd - pushd "test2" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/test2/*" - check_svn_return_value - popd - pushd "test3" - popd - pushd "test_project" - popd - pushd "test-project" - svn import -m "Import from OC" "vl.bmp" "http://orsoc.se:4488/svn/test-project/vl.bmp" - check_svn_return_value - popd - pushd "tg68" - popd - pushd "tiny64" - popd - pushd "tiny8" - popd - pushd "tlc2" - popd - pushd "toe" - popd - pushd "tone_generator" - popd - pushd "totalcpu" - popd - pushd "trinitor" - popd - pushd "truescalar" - popd - pushd "ts7300_opencore" - svn import -m "Import from OC" "7300stclwp.jpg" "http://orsoc.se:4488/svn/ts7300_opencore/7300stclwp.jpg" - check_svn_return_value - svn import -m "Import from OC" "ts7300_opencore.zip" "http://orsoc.se:4488/svn/ts7300_opencore/ts7300_opencore.zip" - check_svn_return_value - popd - pushd "turbocodes" - svn import -m "Import from OC" "turbo.tar.gz" "http://orsoc.se:4488/svn/turbocodes/turbo.tar.gz" - check_svn_return_value - popd - pushd "tv80" - svn import -m "Import from OC" "tv80_rel1.0.zip" "http://orsoc.se:4488/svn/tv80/tv80_rel1.0.zip" - check_svn_return_value - popd - pushd "twofish" - popd - pushd "twofish_team" - svn import -m "Import from OC" "ciphertext.jpg" "http://orsoc.se:4488/svn/twofish_team/ciphertext.jpg" - check_svn_return_value - svn import -m "Import from OC" "cleartext.jpg" "http://orsoc.se:4488/svn/twofish_team/cleartext.jpg" - check_svn_return_value - svn import -m "Import from OC" "key-mod.jpg" "http://orsoc.se:4488/svn/twofish_team/key-mod.jpg" - check_svn_return_value - svn import -m "Import from OC" "modifiedF.jpg" "http://orsoc.se:4488/svn/twofish_team/modifiedF.jpg" - check_svn_return_value - svn import -m "Import from OC" "peracangan" "http://orsoc.se:4488/svn/twofish_team/peracangan" - check_svn_return_value - svn import -m "Import from OC" "qper.jpg" "http://orsoc.se:4488/svn/twofish_team/qper.jpg" - check_svn_return_value - svn import -m "Import from OC" "s-boxes.jpg" "http://orsoc.se:4488/svn/twofish_team/s-boxes.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.jpg" "http://orsoc.se:4488/svn/twofish_team/twofish.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.zip" "http://orsoc.se:4488/svn/twofish_team/twofish.zip" - check_svn_return_value - popd - pushd "ualpha" - popd - pushd "uart16550" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/uart16550/index.shtml" - check_svn_return_value - popd - pushd "uart8bit" - popd - pushd "uart_fifo" - popd - pushd "uart_serial" - popd - pushd "ucore" - svn import -m "Import from OC" "ucsys-0.0.1.rar" "http://orsoc.se:4488/svn/ucore/ucsys-0.0.1.rar" - check_svn_return_value - popd - pushd "ultimate_crc" - svn import -m "Import from OC" "ultimate_crc_1_0.zip" "http://orsoc.se:4488/svn/ultimate_crc/ultimate_crc_1_0.zip" - check_svn_return_value - popd - pushd "ultramegasquirt" - popd - pushd "ultravec" - popd - pushd "upcable" - svn import -m "Import from OC" "odd_vhdl.zip" "http://orsoc.se:4488/svn/upcable/odd_vhdl.zip" - check_svn_return_value - svn import -m "Import from OC" "OneDollarDongle.pdf" "http://orsoc.se:4488/svn/upcable/OneDollarDongle.pdf" - check_svn_return_value - svn import -m "Import from OC" "ver1_xc9536xl_vq44_single_side.zip" "http://orsoc.se:4488/svn/upcable/ver1_xc9536xl_vq44_single_side.zip" - check_svn_return_value - popd - pushd "usb11" - popd - pushd "usb1_funct" - popd - pushd "usb_dongle_fpga" - svn import -m "Import from OC" "block_diagram.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/block_diagram.png" - check_svn_return_value - svn import -m "Import from OC" "dongle_block.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/dongle_block.png" - check_svn_return_value - svn import -m "Import from OC" "mini_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/mini_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "small_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/small_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "usb_dongle.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/usb_dongle.jpg" - check_svn_return_value - popd - pushd "usbhost" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/usbhost/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "HDL" "http://orsoc.se:4488/svn/usbhost/HDL" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh10.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh10.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh11.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh11.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh12.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh12.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh13.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh13.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh14.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh14.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh15.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh15.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh16.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh16.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh17.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh17.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh18.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh18.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh19.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh19.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh1.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh1.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh20.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh20.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh21.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh21.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh22.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh22.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.shtml" "http://orsoc.se:4488/svn/usbhost/HDL.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.1.gif" "http://orsoc.se:4488/svn/usbhost/index.1.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/usbhost/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/usbhost/README" - check_svn_return_value - popd - pushd "usbhostslave" - svn import -m "Import from OC" "ALDEC_logo.jpg" "http://orsoc.se:4488/svn/usbhostslave/ALDEC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" "http://orsoc.se:4488/svn/usbhostslave/dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" - check_svn_return_value - svn import -m "Import from OC" "NIOSsoftware.zip" "http://orsoc.se:4488/svn/usbhostslave/NIOSsoftware.zip" - check_svn_return_value - svn import -m "Import from OC" "ohs900.zip" "http://orsoc.se:4488/svn/usbhostslave/ohs900.zip" - check_svn_return_value - svn import -m "Import from OC" "usbhostslave.zip" "http://orsoc.se:4488/svn/usbhostslave/usbhostslave.zip" - check_svn_return_value - popd - pushd "usb_phy" - popd - pushd "usucc" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/usucc/*" - check_svn_return_value - popd - pushd "utop_lvl_1" - popd - pushd "verilator" - popd - pushd "vgafb" - popd - pushd "vga_lcd" - svn import -m "Import from OC" "block_diagram.gif" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/vga_lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.pdf" "http://orsoc.se:4488/svn/vga_lcd/vga_core.pdf" - check_svn_return_value - popd - pushd "vhcg" - svn import -m "Import from OC" "morpheus1.1release.rar" "http://orsoc.se:4488/svn/vhcg/morpheus1.1release.rar" - check_svn_return_value - svn import -m "Import from OC" "morpheus.tar.gz" "http://orsoc.se:4488/svn/vhcg/morpheus.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Specification.pdf" "http://orsoc.se:4488/svn/vhcg/Specification.pdf" - check_svn_return_value - popd - pushd "vhdl_cpu_emulator" - svn import -m "Import from OC" "vhdl_cpu_emulator_Beta.7z" "http://orsoc.se:4488/svn/vhdl_cpu_emulator/vhdl_cpu_emulator_Beta.7z" - check_svn_return_value - popd - pushd "vhdlmd5" - popd - pushd "vhld_tb" - popd - pushd "video_starter_kit" - svn import -m "Import from OC" "main_designoverview0.0.2.pdf" "http://orsoc.se:4488/svn/video_starter_kit/main_designoverview0.0.2.pdf" - check_svn_return_value - popd - pushd "vip_regs" - popd - pushd "viterbi_decoder" - popd - pushd "viterbi_decoder_k_7_r_1_2" - popd - pushd "vmebus" - popd - pushd "vmm" - popd - pushd "warp" - popd - pushd "wb2hpi" - svn import -m "Import from OC" "BlockTransfer1.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer1.jpg" - check_svn_return_value - svn import -m "Import from OC" "BlockTransfer2.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory2.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSPMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DSPMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "Registers.jpg" "http://orsoc.se:4488/svn/wb2hpi/Registers.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SystemMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "TestBench051.jpg" "http://orsoc.se:4488/svn/wb2hpi/TestBench051.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb2hpi_hw2.jpg" "http://orsoc.se:4488/svn/wb2hpi/wb2hpi_hw2.jpg" - check_svn_return_value - popd - pushd "wb2npi" - popd - pushd "wb_builder" - svn import -m "Import from OC" "users_manual.pdf" "http://orsoc.se:4488/svn/wb_builder/users_manual.pdf" - check_svn_return_value - popd - pushd "wb_conbus" - popd - pushd "wb_conmax" - svn import -m "Import from OC" "conmax.jpg" "http://orsoc.se:4488/svn/wb_conmax/conmax.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_conmax/index.shtml" - check_svn_return_value - popd - pushd "wbc_parallel_master" - svn import -m "Import from OC" "wbc_parallel_master-spec_doc-r01.pdf" "http://orsoc.se:4488/svn/wbc_parallel_master/wbc_parallel_master-spec_doc-r01.pdf" - check_svn_return_value - popd - pushd "wb_ddr" - popd - pushd "wb_dma" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_dma/index.shtml" - check_svn_return_value - popd - pushd "wb_flash" - popd - pushd "wbif_68k" - popd - pushd "wb_lpc" - popd - pushd "wb_mcs51" - popd - pushd "wb_rtc" - svn import -m "Import from OC" "ports.jpg" "http://orsoc.se:4488/svn/wb_rtc/ports.jpg" - check_svn_return_value - svn import -m "Import from OC" "structure.jpg" "http://orsoc.se:4488/svn/wb_rtc/structure.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb_rtc.zip" "http://orsoc.se:4488/svn/wb_rtc/wb_rtc.zip" - check_svn_return_value - popd - pushd "wb_tk" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_tk/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_arbiter.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_arbiter.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_master.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_master.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_slave.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_slave.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_bus_resizer.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_bus_resizer.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_extensions.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_extensions.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_out_reg.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_out_reg.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_ram.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_ram.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_test.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_test.shtml" - check_svn_return_value - popd - pushd "wb_vga" - svn import -m "Import from OC" "accel.shtml" "http://orsoc.se:4488/svn/wb_vga/accel.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_vga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mouse.shtml" "http://orsoc.se:4488/svn/wb_vga/mouse.shtml" - check_svn_return_value - svn import -m "Import from OC" "palette.shtml" "http://orsoc.se:4488/svn/wb_vga/palette.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_chip.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_chip.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core_v2.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core_v2.shtml" - check_svn_return_value - popd - pushd "wb_z80" - popd - pushd "wb_zbt" - popd - pushd "wisbone_2_ahb" - popd - pushd "wishbone" - svn import -m "Import from OC" "appnote_01.pdf" "http://orsoc.se:4488/svn/wishbone/appnote_01.pdf" - check_svn_return_value - svn import -m "Import from OC" "flex.pdf" "http://orsoc.se:4488/svn/wishbone/flex.pdf" - check_svn_return_value - svn import -m "Import from OC" "press_release_12_08_2002.pdf" "http://orsoc.se:4488/svn/wishbone/press_release_12_08_2002.pdf" - check_svn_return_value - svn import -m "Import from OC" "soc_bus_comparison.pdf" "http://orsoc.se:4488/svn/wishbone/soc_bus_comparison.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b1.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b1.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b2.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b2.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b3.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b3.pdf" - check_svn_return_value - popd - pushd "wishbone2ahb" - popd - pushd "wishbone_bfm" - popd - pushd "wishbone_checker" - popd - pushd "wishbone_out_port" - popd - pushd "wishbone_to_ahb" - popd - pushd "wlanmac" - popd - pushd "wlan_modem" - popd - pushd "wpf" - popd - pushd "x25_protocol_interface_project" - popd - pushd "x86soc" - popd - pushd "xge_mac" - popd - pushd "xmatchpro" - svn import -m "Import from OC" "open_xmw2.zip" "http://orsoc.se:4488/svn/xmatchpro/open_xmw2.zip" - check_svn_return_value - popd - pushd "xtea" - popd - pushd "yacc" - popd - pushd "yellowstar" - svn import -m "Import from OC" "appendix.pdf" "http://orsoc.se:4488/svn/yellowstar/appendix.pdf" - check_svn_return_value - svn import -m "Import from OC" "processor.v" "http://orsoc.se:4488/svn/yellowstar/processor.v" - check_svn_return_value - svn import -m "Import from OC" "report.pdf" "http://orsoc.se:4488/svn/yellowstar/report.pdf" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_schematics.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_schematics.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_symbols.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_symbols.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellow_star.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellow_star.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ys_logo.jpg" "http://orsoc.se:4488/svn/yellowstar/ys_logo.jpg" - check_svn_return_value - popd - pushd "yoda" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/yoda/*" - check_svn_return_value - popd - pushd "z80soc" - svn import -m "Import from OC" "mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/mP5180007.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5180007.JPG" - check_svn_return_value - popd - pushd "zpu" - svn import -m "Import from OC" "compile.PNG" "http://orsoc.se:4488/svn/zpu/compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator2.PNG" "http://orsoc.se:4488/svn/zpu/simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator3.PNG" "http://orsoc.se:4488/svn/zpu/simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator.PNG" "http://orsoc.se:4488/svn/zpu/simulator.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_compile.PNG" "http://orsoc.se:4488/svn/zpu/thumb_compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator2.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator3.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator.PNG" - check_svn_return_value - popd - ALL_DONE="1" - echo "All checkins done" -done
svn_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: oc_checkin.sh =================================================================== --- oc_checkin.sh (revision 5) +++ oc_checkin.sh (nonexistent) @@ -1,225 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -8b10b_encdec -acxbrd -adder -ae68 -aes_128_192_256 -aes_fekete256 -all_digital_fm_receiver -alternascope -aquarius -aspida -ata -auto_baud -a_vhd_16550_uart -a_vhdl_can_controller -avr_core -baudgen -binary_to_bcd -biquad -bluespec-h264 -bluetooth -board -camellia -can -cereon -cf_cordic -cf_fft -cf_fir -cf_fp_mul -cf_interleaver -cf_ldpc -cf_rca -cf_ssp -const_encoder -cordic -cpugen -cryptosorter -dct -ddr_sdr -decoder -des -dfp -diogenes -dram -dualspartainc6713cpci -dwt2d -e123mux -e1framerdeframer -embedded_risc -epp -erp -ethernet_tri_mode -eus100lx -eusfs -fac2222m -fast-crc -fbas_encoder -fcpu -ffr16 -fht -fifouart -filter -firewire -fir_filter_generator -floating_point_adder_subtractor -fpga -fpgaconfig -fpu -fpu100 -freetools -gamepads -gh_vhdl_library -gpio -graphicallcd -graphiti -gsc -gup -hamming_gen -hdlc -help -i2c -i2clog -i2c_slave -i2s -i2s_interface -ic6821 -idea -iiepci -interface_vga80x40 -irda -iso7816-3 -jpeg -jpegcompression -jtag -keypad_scanner -l8051 -lcd -lcd_controller -ldpc_decoder_802_3an -ldpc_encoder_802_3an -lem1_9min -lowpowerfir -lpu -lwrisc -man2uart -manchesterencoderdecoder -maxii-evalboard -mb-jpeg -mcpu -mdct -mem_ctrl -memory_cores -memory_sizer -mfpga -minimips -minirisc -mips789 -mipss -most -mpdma -ncore -neptune-core -nnARM -npigrctrl -oab1 -ocmips -ocrp-1 -opencores -openfire2 -openh263 -openriscdevboard -opentech -openverifla -or1k-new -ovcodec -pavr -pci -pci-board -pci_controller -pci_mini -performance_counter -perlilog -picoblaze_interrupt_controller -piranha -profibus_dp -project -ps2 -ptc -radixrsa -raggedstone -rfid -rijndael -risc16f84 -risc5x -risc_core_i -riscmcu -rng_lib -robot_control_library -rs232_syscon -rs_5_3_gf256 -rsa -rsencoder -scarm -sdram -serial_div_uu -sfpga -sha1 -simple_uart -single_port -smbus_if -sonet -spacewire -spimaster -spi-slave -ssram -sts1 -sxp -system09 -system11 -system68 -system6801 -tdm -tdm_switch -template -test -test1 -test2 -test-project -ts7300_opencore -turbocodes -tv80 -twofish_team -uart16550 -ucore -ultimate_crc -upcable -usb_dongle_fpga -usbhost -usbhostslave -usucc -vga_lcd -vhcg -vhdl_cpu_emulator -video_starter_kit -wb2hpi -wb_builder -wb_conmax -wbc_parallel_master -wb_dma -wb_rtc -wb_tk -wb_vga -wishbone -xmatchpro -yellowstar -yoda -z80soc -zpu Index: rs232_syscon.pdf =================================================================== --- rs232_syscon.pdf (nonexistent) +++ rs232_syscon.pdf (revision 6) @@ -0,0 +1,3081 @@ +%PDF-1.2 +%âăĎÓ +406 0 obj +<< +/Linearized 1 +/O 411 +/H [ 1179 323 ] +/L 65057 +/E 4503 +/N 11 +/T 56818 +>> +endobj + xref +406 26 +0000000016 00000 n +0000000871 00000 n +0000001050 00000 n +0000001081 00000 n +0000001138 00000 n +0000001502 00000 n +0000001676 00000 n +0000001742 00000 n +0000001818 00000 n +0000001913 00000 n +0000002007 00000 n +0000002100 00000 n +0000002193 00000 n +0000002286 00000 n +0000002380 00000 n +0000002474 00000 n +0000002568 00000 n +0000002662 00000 n +0000002756 00000 n +0000002850 00000 n +0000002968 00000 n +0000003074 00000 n +0000004150 00000 n +0000004256 00000 n +0000001179 00000 n +0000001480 00000 n +trailer +<< +/Size 432 +/Info 402 0 R +/Root 407 0 R +/Prev 56807 +/ID[<68e548e8421b61d5f4ea68def6c0002d><68e548e8421b61d5f4ea68def6c0002d>] +>> +startxref +0 +%%EOF + +407 0 obj +<< +/Type /Catalog +/Pages 404 0 R +/Outlines 412 0 R +/Threads 408 0 R +/Names 410 0 R +/OpenAction [ 411 0 R /XYZ null null null ] +/PageMode /UseOutlines +>> +endobj +408 0 obj +[ +409 0 R +] +endobj +409 0 obj +<< +/I << /Title (A)>> +/F 414 0 R +>> +endobj +410 0 obj +<< +/Dests 401 0 R +>> +endobj +430 0 obj +<< /S 158 /O 215 /E 231 /Filter /FlateDecode /Length 431 0 R >> +stream +H‰b```f``™ÍŔ +${x€‡(ĘÂŔŃág¸lÉzáśáľŰ2µ5O¨áZ©khXLą[ÉĘÔsÓL[Í íR•ĽjÔójeqĚâčMá˝i7ĂŚU;@ %˘ÉĄh,Đ`ĹŘÁ ŃŔ¨¤1l+ĎŔ·H ±Ř"'.i +YvĆ +9ŇěŚ +d€ÄŤ?M +&Ú\L¨U1Îa``í2€x5«00Šç10d>0KV93 +endstream +endobj +431 0 obj +200 +endobj +411 0 obj +<< +/Type /Page +/Parent 403 0 R +/Resources 425 0 R +/Contents 427 0 R +/CropBox [ 8 0 603 792 ] +/B [ 414 0 R ] +/MediaBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +412 0 obj +<< +/Count 1 +/First 413 0 R +/Last 413 0 R +>> +endobj +413 0 obj +<< +/Title (Purpose:) +/Dest (G374759) +/Parent 412 0 R +>> +endobj +414 0 obj +<< +/T 409 0 R +/P 411 0 R +/R [ 89 38 522 754 ] +/V 415 0 R +/N 416 0 R +>> +endobj +415 0 obj +<< +/P 28 0 R +/R [ 89 38 522 754 ] +/V 424 0 R +/N 414 0 R +/T 409 0 R +>> +endobj +416 0 obj +<< +/P 1 0 R +/R [ 89 38 522 754 ] +/V 414 0 R +/N 417 0 R +/T 409 0 R +>> +endobj +417 0 obj +<< +/P 4 0 R +/R [ 89 38 522 754 ] +/V 416 0 R +/N 418 0 R +/T 409 0 R +>> +endobj +418 0 obj +<< +/P 7 0 R +/R [ 89 38 522 754 ] +/V 417 0 R +/N 419 0 R +/T 409 0 R +>> +endobj +419 0 obj +<< +/P 10 0 R +/R [ 89 38 522 754 ] +/V 418 0 R +/N 420 0 R +/T 409 0 R +>> +endobj +420 0 obj +<< +/P 13 0 R +/R [ 89 38 522 754 ] +/V 419 0 R +/N 421 0 R +/T 409 0 R +>> +endobj +421 0 obj +<< +/P 16 0 R +/R [ 89 38 522 754 ] +/V 420 0 R +/N 422 0 R +/T 409 0 R +>> +endobj +422 0 obj +<< +/P 19 0 R +/R [ 89 38 522 754 ] +/V 421 0 R +/N 423 0 R +/T 409 0 R +>> +endobj +423 0 obj +<< +/P 22 0 R +/R [ 89 38 522 754 ] +/V 422 0 R +/N 424 0 R +/T 409 0 R +>> +endobj +424 0 obj +<< +/P 25 0 R +/R [ 89 38 522 754 ] +/V 423 0 R +/N 415 0 R +/T 409 0 R +>> +endobj +425 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /F2 426 0 R /F3 428 0 R >> +/ExtGState << /GS1 429 0 R >> +>> +endobj +426 0 obj +<< +/Type /Font +/Subtype /Type1 +/Encoding /WinAnsiEncoding +/BaseFont /Times-Bold +>> +endobj +427 0 obj +<< /Length 1000 /Filter /FlateDecode >> +stream +H‰lUŰŽă6 }ĎWđQ*bŤ.ľöm¶í.şčC±ënÎ…ÇV‰=” ć7¶ýŕ’’ěÍ‹±(‘‡ä!)˝i7wo5(hwS‚Ä~ŚT˘0P6•´§Ť„ýćîÝG{‡ë¶§żë†}pÚčżÜ‹ë牷o¤0ÚČ”ĐUYAűăćýćě™gކ}á¦ÄŹă˙áÝe,˙ł}żŃJ4ESďË:dž jQ6R… „”Ş ď-ióŠžíŮŤčż%%$Ď…f2«B41©¸2ąZAˇ*ˇ*‚ @Ş áŞŇ~çZ1 »˝ťŕ +ĎŚ¨Ů †®ĽźyVś&ŕ•(ؤٰ#ć‰JÝK<őIk +±ä"oJMÜĽh›%‰č»(ĄűŮ{7tG»…ű?Tô¨‹2&_ óz-ĂÓYbľ˘Žź(™Č„ŤJ/ë¦č>—X +łđč}`ż^ÎOśJ6;^ +Ĺě÷ĺî­‰­˘tDŇ ÄÔĹ-Ł˛Z˛˘EŘrŞüg91ę`Ŕr!:GőŠő<+ńs {'Ą)Hžg +I?9LĚ,VçńŃ:póÉÂĽKÚţp«ţ?ăKfŘ~‚]Úé|ô|ćČ ę9‚”o +mőq˙µű˙ˇę¬”HQ$Ťµ¬ÔBŘx0ćŮ°0,H«b81ńdćĹ),Âúůµg¤íÖ3ű÷ŰN#ífĄÝ¤FţĚ:ä&đBdjL7 2#i"¨«ÖfTů:\´$€žSĎ\á?ňC‚ +[p=ŹJN˘Éóq{‚qB~ńüoLĐĆ’—hK§ăqŢsEX" ~ć?{Ŕ~XĎtŔŠC#rŔĎ°ëú¨}ç-Díäé +— :d7Łc·†uIĐôě|÷ĘWRŰÇú·ß}˝júÍíĄs=tAűS1LÓ +Ýs7»G^Äë@ÓěP—‘ +"7”bN’?XčăIşn°ËT§˙-tÓ{$ś_!9K2p%ŮĹY˝¸§+HˇOrâćmp€5P2ř9ýĐĎC@]ĂňÎwT˛ -Qżnujd.Ů/CfĚR8ĚÂuôD=‘tâ8?“w[l:Ś óĐ +¨?Śžnč’uG*D‰C¸?x×XĐ€tŕeôóÓ˛<óÇmC˛ˇŹ‰ş‘×4S=QHCtŕmM|ún‚Ç~ß‘ýd‡°÷‚Ć€­MÖ9{í™dAK…ť°T*¸±xŃ"Ż× Ä 15ŠJOÉ{´ťłT9|8~Aęk* ľl˙M '5Ů'|Í$><…‘ěţ˛xsom%ÓtדőOíć?‡3Ěą +endstream +endobj +428 0 obj +<< +/Type /Font +/Subtype /Type1 +/Encoding /WinAnsiEncoding +/BaseFont /Times-Bold +>> +endobj +429 0 obj +<< +/Type /ExtGState +/SA false +/SM 0.02 +/TR /Identity +>> +endobj +1 0 obj +<< +/Type /Page +/Parent 403 0 R +/Resources 2 0 R +/Contents 3 0 R +/CropBox [ 8 0 603 792 ] +/B [ 416 0 R ] +/MediaBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +2 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /F3 428 0 R /F4 31 0 R /F5 32 0 R /F6 33 0 R >> +/ExtGState << /GS1 429 0 R >> +>> +endobj +3 0 obj +<< /Length 1990 /Filter /FlateDecode >> +stream +H‰¤WŰŽŰF}×Wôcw0¤y%jßĆIĚ‹,íľŘ "[=Ľ(lr&ú€üŔĆȇä ÷TUSŁÇ ńŔę{w]OľŢ.^˝IU¬¶űEşRţĐlň0ŠŐz™‡ëµÚ¶‹HŻ~ř)V‡ţ¶¤źÇ…\’&ÜŮ•}§®˙™íÇĹ÷ŰE¬jµďJ©Iă<ܬT.s5ŘĹ~ńšŢ_ĘűqFk$‚ôüÉx¦):#Â(Šr’ŕťŽĂ»n4Á*Śő`‚$Ěu_M4Nu9Ö˝ô:óźíßq"×&ţÎUľ7ĎîLY+ô’”T{§·G«ş˘µę·k-?©˛o­S&ŽôŢŚŢŽŃô-Oňfő^Ëš3Y¸Öv¨Íma‚%&şŁťşş, f§XÂHá–š}çZ_Z‹@n4I‚ăEW™`Ă×eô|őŢ(Ěáż*v»Á>ÔĹh+ĽŃÉ6ŁmEÉŹő{őTmkrLÓ¶qč›ĆfIˇ!ą?)EúłdŰoĽD’»ëXD*űÁ˛Ö¸śŢčÉDpGŃ4g59o§·fM“˙6ä©íö­jěmĽ +űAŤxÉÁFEĂS¨ł,ě +ëE˸kŕĹÚ]›kCćbŮâŮZńFD¬;őť«ˇe¬w&Ćoc=wú‡şŞ»ČˇÜ±ŢS¬lôH“ăPt®)F™ęłÁQ§Ć^ĺ±Ć‰+7SnISŇą ^:r–§ĽČH’U…!K +•zč^bP,OB$n!ŢÝhÎw‡ëůÎdyńD´ĽD+4]jÁ¸“RT®´ ĽÜş’„ŚđŃĘhDá z,dŹš7•¶†‚č>ČÝë‹Ă˙ťg§ŃŤěRIÄÓQĚM¨Ôť\ý_ł¤u'ńˇÓ4śzg? ý§Tdírź‹Ź@YëŢP˛)ÜéŠüןdP ®-y+Ő¤ŕÔc0ź v“ ęn´Ăľ(-ĺ0âÖM5»µChđ%ţęÝt^†Zđ<ÖďŃĹŤ.8b}DČžL‘)ńcť|h{D2G5[Á$˛ +´Ăâ˝=ďúqQs t†ýe–÷°ř,˝dĎă±.Ź˙Ç€>­ĎŐ‰đ#gXRlA‚ţ$“-[Đš Ĺ U1rŇAoÍš÷·öWĹł[9w$wöŘ*.đB>ň^Ţ˙yŞË{`Ăs`Y^ şśqĄ=5(vK dv›a©g9¨„}VÖ,ýÄÄ@ŃŠNö§ÖB €ŢłREńÔR ŕ!H¸Ň_đt&…ćÔG2]2°ňk?ź!ëU?Ô†ĽńH›é~:GAŻzT¶«pl:)đŚq;Ó÷4¤NÓw¸iľCN'FCčaCqĹŰ©äŮ{ކ1EŇ€Đ÷lÖ´t##U8Á›ÚŃ<ňpÓĺřz‹Ă óÎI[ÝŔ˘ÜKRi>ČúYfÉĘ;ŮZ +޵Oĺ„5Y]Ô;ݡ>¬ 4T_jň?ŹUw¨VÜ/(Ž‡ŕOrO*ö˘?‹iĂu©eWő^Q ˝\¤¸ÝłsθĎ·EwVÇ~=˸ŔůîËp®}ѢŠrĂąň,Ôjţ¤jńó$ń8×őŹ3łČ‘§Ř9i…ôD€]ęđÝYŰÂĘŇ:·ź„;\dšJeEÁ4ZÇÇ“Ô zT­…íÎ_`Éʼn´kúňŢńË=ÔpĹ ć9÷„b9Gu®J62`"Â6dĆň–VÁ,…B1=}â=B–ý<•ëż}o®.¨¬ŁäËőé Í^cÇňBFßi‹‡ă0ś 7c-^O_zý{Éj.źpQŐ—}°žë^/‡z$#Çî{˛3ŐFeĄyż˙Ťźyő&»™iěć‰Ĺ¦Yfžq˛ë/–Ž9ňôšźČđ…˛ĆŃ*]ßđ…ŕÝ%$ ؤ7S)UŐĹa(„ŁŠ‡Ň&ŃWá~…" ňńú/¨ńě|ňTěŁôšĘP ‘Â$U§51ţ–Ë?EŁăŐ3Ą<*“.~ń +B5ŢÝxŕ‰ćAhľRŐVŠ˙’Ď®n¸Š×CpöXŚ + LÉĘ‘‹„§2FżŠű!Ŕ($Ć‹’V˝ľĹ0Ń˙úNńÉÂ$~ź:ŘîéĆ‚zŁä…ĂžY÷• +ÉVëç3ľÄVäŕ®âk NǦą¤äRR-ő G=H¸ý C„·ÁZfűĚ›ëVŞXNžć-’öŃIÂ_„ke“óűĽŘŢÚ(¦řŠŚXä§oŇßĹ#^5Ôč•lB)ş("·„¤ ‹H}˙Í4Đ“gń.?$řp]ľî$›Ă6Í2ąA‘šyŕ(d0dđ§IżŞ@¤ 4ź|wžšż|®®|MbapKŢ.Ćy©f6q9V}śŻ™ řmĺI_e|fTĄĘ´şĽ^ý)™üśÔ-9‰Š:pĹ3‘ cžşţŚs„žs°wŁŘ§÷¶ë=Éę‚ŻQ“đk9ŠzŃť…°ĽŚňáÉŹ'ĎP”ÓĚ›™‚\˘˝HZË9.fÂšÜ +ŻÉ+ŮéuzÁVł ęzĎŰÂŐŤ| ťz!€¬äć{n¦Ś={DôŻ÷2·7´Ü4őÍ?¸•Ş çĂvę–jb¦ďľU'|’˘˛µţ‹đűíâ+Źh +endstream +endobj +4 0 obj +<< +/Type /Page +/Parent 403 0 R +/Resources 5 0 R +/Contents 6 0 R +/CropBox [ 8 0 603 792 ] +/B [ 417 0 R ] +/MediaBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +5 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /F3 428 0 R /F4 31 0 R /F5 32 0 R /F6 33 0 R /F7 34 0 R >> +/ExtGState << /GS1 429 0 R >> +>> +endobj +6 0 obj +<< /Length 2485 /Filter /FlateDecode >> +stream +H‰´WK“۸ľëWŕş,š ř<ÚĺÝM¶*‡ÄSµĎ–‹#a$nřĐ’”eď=ż ůÁůş¤¨™ń:—ŘU#ÝčntýŔ»»Í›­2ęîqc3á?~Ę"ŚŚĘ“"Ěsu×n"uŘĽůéQ‡ë»ýąlô0Ć6ţ4~w}§Ö˙‚»ß6?ÜmŚŞŐf–eéÇš",3eäPŰę8T‹%Á6 +{‚m&Z-«.CŁÝnŞűn ~˝űyclXƢŽW˘.+˛ĐŘY™-HŮ––i9k `ť6ę]Óďţ©öuu‚;UľT·"ÜK^Ħ6Ěíó;/ő­šúÓ¶qź]Łš €­$=0‘f +U«úGuăđW3.§ę3źG·çEŤT +¬“kecTlY¤¶&Śˇö˝Ü+˛ł-±[Ćcé<Ö‡óŕŕ7čjúK`ó0×á,%łü*%[¤d"ĺCÁíu@|şŰ95Ql2} "ě;Uí÷GőpUäŘCđ0¨®źÔC˝Ż\/ń!«š×Şžž0VťęĎ^ěé<©ÇˇoŐtt|ßclKĆi}ďâjqĽX‹Ĺ§ˇßÁ˘á,˘×A JöŞjĆž…ŠĆ“(Ť5ď=ĘzHľîĹŰëH…Jýµă]6‰XIţŢ +ş]+ŠŤwŻu6ň¶]Ž5ŕěŽÔú¨öîóĽĺÔÁMM±… gÓWX¶rK0<űgç©G¦úHŔ$śćpĽ>9V1AÖíąŇD(t}ňßî‹śPV·§F>Ű€<â:Q'{űëM˙Ëën¬÷»ž|ŞŐ”úĆŹDSwG‚2C|ú=\ŞĎ›ű– sĆž&čŔWMő•Íŕx’ś†ÉěqS&bNĹPň’]Ł鮲ě‚ťđáąĐÝD+aÚ9ţ9MAśŕWÄ?ČÉ&(‰]rŃ!†ó¤Ú»µŠG&É_şŹű"˛ŹUwF‰”pô¨yô +ü/5(öutÎ×AÜĆďÝÖčóáPwR`vŐ)°•;OŁ”,uSO_źV(ŻwŽâĘ®sťśY0 –¤‹%©XĹgâL5C~Ş;˙P‰ťëŮ®'¨q.¦đ^Šżű×ăöüEí*Ş‘’Ť®í?ăB÷ş÷Ţxóc"M'NĂŚšáÚŮţMliLĐé9n*ťńH9V(u™öęR#‘h˝żU’†QúLÇľ­#Ζ¶ůrŞľJű·Ö„ąyâkgô]ˇ˘®W°J=ćŚnÝäx1¨jbF +(§ş’šęÖ˝8VńżÔM#›¨«čĺü5ž›É§™qźů%ŕŚ4ä´0‚óR#$LôÖ5߀i˛€Ă»(㣜dša’č/ô®Bó# ö'X_˙Q10h†:0ç˙řµĂĎč‹•÷5ťđ=ő}3ŢFţ+Ëgˇű×MčŚĐő}@Ű[qĐ“˘8ß"_n‘Ë-¤·*Lo´‘ bîA趏®GÍÜź­őýů—Řăv1 ď%ř+]Ô—EJŠ6 ^ +żűq 1 +Lh¶·41XtF/b’o'ŽŘ}»ó„#M6vá-“/śd7‚÷ÂŹÎFě¶O#»îż65…Ż?ÜçĄß\ęéČ˝=Łn6¸ßĎőŔ!ÂÝ}ŃŤ&t ôô j^čłěÄ–…x2*´Fđż\r’ąäÜŚV‡ĐT—jđSKĘSKŞ+˙Ĺfü`nLeÂI14I…zč;@l˘ÄK \ĘÂč\;RŻwÝ®?Ű?źĂĘy«ŽF¸SSłY7ĽOˇ‡t +=ş°•ŘßklFßPęęÎŹ*ţX,ÇŇ…‰Đ/ÓAĺů>1µżĘčg 4a=Ő}(n‰±Ť/EÚ×%ĎÓîcžOG!żr˛€cn,‚ุÔRµ—Šő4–UŤ—1l}Ő#¦ôß~»ž˝şő3ŔĎ\łűMi|†ŢëQ&,ŮĹŠ“Ű… +eŐP>ČÔ\#JYvxô]ŐYVÇłWnŽÖé¸;:áęŃQizłç’ąG÷ŹŤş25bdÇţ,}•¦lŘĐťŰGCféĂŚlÁ°äš…xÁĎ":A® ÂĎŞsnŹr1ůŕKco) +F#϶ü¬;lL„GUI†i3U¶,éE·ŤSŁL^vßçÔOő3ŞçoĘđxQ&RSŤÔď34ô\%II2“Ň“ÓáwŻäĺú + ˇ6óoGż6ć'Łś)çç# Ţäóą'¶ĚW=KöGý—>Ŕ#“čä;ì3, +łę s?AśĽŻ-Ý 8B Đ—ŃC-‚™ń”Č•§ăn€MIďHc¨h©mbì¸zâ%X°%{>+Ż`’lq•>ĘTK ŠY@}@˛6$cCⵆDS°!iöç†Ä…Ąů'¶t'†ČS“ŠjžNG7  đ4±Öná†Ě(dDżej‹Űď(O˘Ś”ŰEĘ®UÇ‘p‹y„kZ‹!ŰäÓĹAE9 )óŐIż†E˘ľyş8ČŃgťż©~ćkĐÎHKÄA/•}Ô‹›9ĂÓDĂőäß×â˝ţülÄă˙Oűwôţw`w— +endstream +endobj +7 0 obj +<< +/Type /Page +/Parent 403 0 R +/Resources 8 0 R +/Contents 9 0 R +/CropBox [ 8 0 603 792 ] +/B [ 418 0 R ] +/MediaBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +8 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /F3 428 0 R /F4 31 0 R /F6 33 0 R >> +/ExtGState << /GS1 429 0 R >> +>> +endobj +9 0 obj +<< /Length 2912 /Filter /FlateDecode >> +stream +H‰ĚWŮŽă¸}ŻŻŕŁXj‰Z,=Î$™¤yh#`:0d™¶Ř%‹nJj—ç3&ůŕÜ…tŮîšNW?Ą +0qąĽËąçţ¸zx÷S&R±Ú=dĄHŕšşŠ“T,ó*^.ĹęđýĂ»żĽOĹ~„ţŞĹźÓC`G™Éőx[3ëżpőńáĎ«‡ThńŕĎĘ°ÉŇ*®K‘Ĺy%¬zŘ=ü÷—|*é~hÜž,Ç8I’’nŽ“B–xý/ÁA +S-ă2S§Ä&ŚjčĎaçÁQšŹ;Ńpo˛:§PJřܸŤŠ?mć‘;ÚµóĐ´­:úŐaż›^‰o3t‡g3[ŃŹ˝—0Ń6“6ĂĄ ˙µú¨)JcY‹ŐźPî$u/Hł$çŘ1ÄădĆÍš‡gnP«›Ţ´4x!Ő6µ%M7a„ňÝźéëÁlő.Ěq&ŚPPµŤM(Q[ +-ßnIů + ‹8ÉŔ(î‹•˙ŤÖĽ)‰ ^A6I \l›i­y™?*ήO +ţóú!d×Ěk%]˛VD3|!Z\Ôß* v‘ËÜÉU._®<ÜŤV]޶"żK¤łÚŃŘ)ĚP“cĺ _ˇqě›V C’łCŞgMŠ'=ěď”fq•ľůEż8U×`É;ýÔů·ę»đďAë‘ľéAQÁ"¬ŕ— €/p!“e0ŮLbón§,ľ<‘$ŇeĽ,^TFףŢŇŠ߸ł ´uw~V[ÎUÁ¦ĂŠ”Á`Hb‹S¨EřÉÝÎ=ĎďŚőüĎ°XÂYe`uoöâhÍŢ68˙ ¬Ś€Đ5ŚI8»[ÜGâ +ä‰|˛öp˛5jD‰¤>ÍÚ‚Rrž‚g8‹Có‘Ţ々yhÝ Śf`HjzA1© Fň#*o™şhHëú‚Uś"FPłćá™Ćzč›ö)ć*WŃw16Ô!CŻäŤĎ?iŢţ ßÁÖŚěÜrg¶îvaż +„ć“ÄĚ-€ĚŽ>Zeéu«?8}/ťÓeŇyłÝZ5Žˇ÷0÷ Ż, ĺ-IyYpÝfAAÂ}îÂGĆËü-á# ŽźCCN-e×4Ü„čáv}Uĺ! ¬÷5ËŞ7k^:‘è`¨ŻL RL]–ç×<żŮ.Ü\XÄUýÝř" @ܵŚS%¶|M?˛–"Yczľ‹«ô–é%ţç‘Ř6Ą©Nff¬é hÖ0ŐÖ”já;y\[C:‡Jt:p‡hé(peÇŘÇhyy±ą %Ű @÷çĎç +ZȢ}…8ÁÂěÉyŕ9&güiáÇpnúŢś(pđŚŚ`6LÓ`Ä +®wÂ6\c^Ť|źg–µ$ +ľ<ř4+rWɦĄ™VŤÔŽ‚ç1âŕ+łšéhF‰ ±ŮŃ4…ËâvŐ`P^čč;Ŕéżá[&>Ɔ.! ńW:(/â™CtýÔ +ďĚjN ,č ť5óľ#w¸ v8Ł|S°ç>rčUŤSźέ7úů}"ď{kФĹWŁľ|NĺW8•^rgźőŰŁ<Żż*ńQ@•Ń…Ĺ? 0>-EčŔň.şŔ\t>»źX´aÚ•± >GHÍHLarÄ­‚ |ă"´$ĐbˇŹtýVą•®ĹLšâ2pŤĆ÷iĄtî +r_:ůŔMC’ôŹnËćV*Ä–«•^FËÝŽ^Ŕ·ĹŐ)šűnť;3»s{Č}îëŕÚ±{-ĹߏtéÉG;[ ĹŚ0Ge] +á(Ř šqTv˘ń“RGĆšű’UJ@Ç˙ťdĽK0/If‰)†I@”f”_–]XE°żwĽä[ň#;Ž°gś“Ë!Ŕ,ev§YšyôRfoüa˝—7~şAâ€Xŕ@Sčlűˇčő —H]ŕH:íčDćµ +MJO_3Ěú%™~IGż$Ó/‰)lAX* KeörlâUr mÔ`ŔóŔIm?ĺOôi0'°zCý‰}Y)^ŔËÜ·Q`ö¤yLˇfw+ŞÖĹŻ:`ć0) ç€?ôL&FłŕŽ8qKAVâ»,§‘ .<ŚŰ5·đ4nąiCIü×…EéÂâňÝë†bŻĹ]wMCp¨#ťĆ2kŘS¸•WŃXÂ’´¦TOćĂ\p˙|-Ž{Ł˘[ěź«ýU Fî"s'¦Ł×ߦŰLW;ÍÖŇŃ÷¶Sí“€âĺÔč Ş¤3u`=­Ž0 ‚ #ľvbśHzíDČŚŔ•ˇl:µUŢź©ŠRVAm¤´ör˘Ő­`ž]”ľŠ=u†ŢsŇUP Ëŕ⧉¸z=v(2ć ¦çrvA  + 顦´Z±ľŃRđ^Bý´çĎ|Ö8W×â)r&Ć;KăŚB%ÇÇ7côZ¤˛˘ńi˛rš†ł…qń‡$´ąŔ:•gFMtęÂłô#»MŁ˙7윍WůÇBĘpÚé}‡6—¨l2ČÇyś¨č„<ÖN'ÓU–˝*<#™ÄËę–f—·đŐć5^ŤW#ŕÝ•Hq™^?ă·»gČü…~ B¤ň’Ü/ąQĂרQyEŤJ¦FĄŁF%şď(ß8ăřŞĄĚŇk&GÄŮؽ¬‚ŃŐl% Śośž|5AĄ„ŔŮ[Ł4Ź!ŮÝÂn^\©wGS—çöş‚©^ü0–R^ʦónç¶W#ˇ¦Er´P+ˇBŰś}Írɢ±`7‘ˇDŰcép„‹0‰€`wő{DĹń=™JÇ÷Ú·ź:ÝvX©˛;‘j¦VÎŮŃdđĄ0Žź{óQ°/2–4Ďú0 ,ţţ×_;–¦™FţŚ ¬Lä=t`WÖ5~včč.ű‰•+o¬ÄXÁ9Á±nٵĚPé¨qÔćŇ>R˘·ĎŹŹ6“^Q»wµ›-ŠĂBç…ęIă‹'Ä׹PeŢŞ«H{¦N +¶ëf»Ż‚n\˝[‚Aţ +endstream +endobj +10 0 obj +<< +/Type /Page +/Parent 403 0 R +/Resources 11 0 R +/Contents 12 0 R +/CropBox [ 8 0 603 792 ] +/B [ 419 0 R ] +/MediaBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +11 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /F3 428 0 R /F6 33 0 R >> +/ExtGState << /GS1 429 0 R >> +>> +endobj +12 0 obj +<< /Length 2471 /Filter /FlateDecode >> +stream +H‰„WYsŰČ~çŻG eŇ×Ă&eYG´µëU,zSIśb H!¦WÖţú|Ý= ©Zñ«ÎtO_Ós±ś˝˝¶J«ĺvfá?>y¶´J]¶HSµ|śEj7{{sŻŐ®ÇďeIžgA×kVýK_¶Ť:˙.˙3»ZδŞŐl”eécu¶Če.S]5ŰÎ.H"úuL42A~ů“6Z8MVĚŁEa‡ôÓ/KFü+0 ŁîŠ®x¬Ây U§öu?Ôá<]dAł ˙˝üq¦ŤČ5^¨Íˇ!ŤÎÄ:/V'b—•ÚTŰâ°BkIЇs·p +ulIş Ú0ˇă­A¶x«ę+Ţ| + +-‹0&ľâ1Ě(‚`iŻŠ®R»ú·ŞQu‚Ö„ó|TňZ˘ú5Ś“ÓéNř÷íN•í¦Z(E÷•?4g·#5× “©ĺ%\3©ń +ułŐ]µ‡B¨…I5´*śC{U”ň«Ş.Ś‘ Á›pnŔ§Š^U_ź^ť­›jCĆZ7 +GŘ.‘PěűvÁ̲V×mÇ.˙Dg#ćuÓˇ!°‹¦¬Ţ¨zË‚žŮŐÂĂV>lÚÝj¨_ŮyüĆ ňFÖť„˘ŘRjXX«rß–_ú7$Ľ9*' ^gYf´Ç TęńĐj]!AŐşćĽČEĄ>zý\…Š#ˇ˛+Á\wß‚»ÔSüÎĹ9M)RÁĚ8GeŚäYxëú0%$ÁÎ%–eŰmç}/a’ )„oÖŤŐWĺ`ŽUćĆ*łę®nÚp#Ś‡µŃ—]ý$ëˇö„&Ô¨:ő9đ„¶á\”Ťěîës(®Auś+cIćĚča”“bL*&H‘S?p±›Ěf‹f‡UQî]‡’CSÂ" m>:Ě?täČá$FP¬8Ě0ĆŢßÜ»űîňă +íV^ŢŢÜ.ďQŔyŕwî° żóÜŘčĐBóbńÄ0q|ý{H^Ö°Ĺ›V*fSŞÝŞbłé$9Şľ™j}@’bg\#›šz˝ŢW˛îYZëçŔQ +™ŕä• ţĚ>»8I,ĺ5±=¦ńŃ­(JÄ/ť¬7Ę> xC™ú,Ë!¬Vű+źSGB4sËâc9?Za&ŠÇhšc0©ČČËwaŠk X®ŕٲüľ“$!€˛Ô2ŔŮ `Ŕ›@q͡fxS× +Ľ›‚ůŃI4·'+řöňŔz_B]ŻĆMúČŢ®©·`f.ÉÖfŘżđŹď”ED‹łŹö~ +“VľĂĄâ‚ź>]Éî× <ŐYAżćÝSUĄÁ‡Ăăşâ]®´·vK“ű`)ÁJfřÂ)’ňg¶mÇ÷€¬Ćž2€šŹŠű6Ułâő+ď`2ńćÓ-†3Ë’ É †ĂÂw„Łî‚ ±ŕŢ-(ŚÂS«‘FÓÇdyĘ—;$8Ă‘Ó ˛¦Iw…ťŘç%wĎěčř3X5Ť1Ł@çJY`VŚD5é ÇDJO׾O†Ź—+î9QĘroA eďň^–«;j ôĚ űBźBí ‡Z¸×ň•–B—ő“4•ŔďěÇŤ|{Ő?Č/ĎđLhf'+j+#©S^|UlÎ3]źś3ÉŃ9„)®oŻ~ň?ß˙ňéo:KÎJí&ľ–Çň›Biç˛ćŁ§Äú`Ň.‡3÷át>śŘG@‰Ü3“ň›Ť¨ĂJ)j¨źŇ öm© +ŕ6á{9¶yeiÉ$ş‡ľťÖúÎŹ8\˛Ó9˘Loxlľĺ\+Beąş8n„t/Ţ“g)´,ŤwH-`đĚ•0ô–aXňT†żŰ°Š#›Ő¦ŢŐĂŞ”ж&{5ŠĐ?1ů—3 +ŞVŹc¦8*ŁkśĆ”¨÷őď4µg|É_B“{HWw[ęŔ\´-Í\4˛Ľ~ÍŽzhŠ{5ż?ťô–ű/«šŰľ8 +¬Śq”môÂĹútNŤüÔ€âS=zI]ř6ňVüč$ŃůQ4ßA!)¨äBę™Í/šahŹW¨Í,Ć2“‘ý‹|ʶQ‡¦¦Ł“ľžć珓Ç"¤€Ç#] U·âĺzGŰÜĺ2Ť;bVň:ýş!uÎd†/I'ľI©÷Łę«®.öđÜŰśşlÂ)ëĆQ łMŹ– +…6‘4CÓ,I–ÓíôDÝ‹†‹9…Ş6<‘IPńŠŚÉÓLaĚˢ(ęXHŔQ릿 1˘ŕÔs^ôś©`öbý#0 ٢żą|’S5Úié'ĺI¬śĹ«Ď ×5IáL>IŶM'©OŮIÉ1 +«§¨‰ţŽŢWa<©7FĂr“z]Žm=IMÓE4©×Ĺń"źÔëhśžôČ’z .%'NlIńHFŤ'žŽă°w’Sł‹'ĹżRŽş^ ›ýÁ4‡AÂNRé•2MEúčIŞN†d‚J·ĺ4Őäß± +ťä;Veö;FĹů7múßęI>ó +endstream +endobj +13 0 obj +<< +/Type /Page +/Parent 403 0 R +/Resources 14 0 R +/Contents 15 0 R +/CropBox [ 8 0 603 792 ] +/B [ 420 0 R ] +/MediaBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +14 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /F3 428 0 R /F4 31 0 R /F6 33 0 R >> +/ExtGState << /GS1 429 0 R >> +>> +endobj +15 0 obj +<< /Length 2771 /Filter /FlateDecode >> +stream +H‰”WŰnăČ}×Wô#Huóž·Ýělvň° $öa&0(©e1ˇH™¤lk~#Aľ7§ŞşiIö Ľ6 öµîuŞúÇŐěĂϱŇjµ›Ĺ™Z⟲–ZĺIĺąZfKu?űđ×hu?`ĽÚĐĎÓ,č›»á®fZŐjćiĹô‰u•™ŠŁ¤P˝ťíf?˙Lřë”öHÉÍR$%c±Ś–ËeÂĐ(#1> ­ţŇ… Ü +‡p‘E:¨Ú­,¨áÜŽˇI˘8¨B(‚ç🫿ʹVĆóŃe´t|¸.„Ť.–Fجö6Ěq_m:pŃD +\…a°ëzţÂ$|&&2Lîd2đÖâ/LVń ‰’ĺ돶Âg%§kهc#Ľ#Ĺç Jď„©Ü +«Ć0Áw"*»Vu;Öv©:2Pé'1˘™Ľř9ˇ×" `¸8P|úĂω¸ÄDY #á»=řyvrŘ2J3Ůešq駥P†ŹĂE'TňŮ’!Ę`®žÄ7}¸Hđ©e6’®%dv§Ű-ëęv[ą{}ÖÍÜ…Ff5ě ŻP‡¨É–úâ™ŮAV,Hh˘ń%Ś\¤7E^–+Ă149…’Ě7ňęí=YVđ˝qŹ™ań7{wĂq¬ÜÍŃ^-p”Ô¤"›ôĆUZ‹YëÉa>µíě ÚĘDíPŤnhű_ę,Ęô…3%‡ßĺŃDO…Q4Ĺ)ĺÍyá4d[ůb¶őtŔ;ŔÍ7)›ŔE‡˝>·˝ÖbAÜs6QyŁĘnUąĐ$ËéÜçŕ‰Ś“#IŔ1jŠŇľĚă„<ÝX7®ĄHŁ,ąĚ‹˙~‡µ÷ź6ŢJ­¬&9čäÇ<°­÷]HčŐżíYvFŰę¶ák™ďÝ•Mç(B2ÖLČŕ7Wk{_·˘ çđĘHîëđ"ĺ¬ÍŚÓcßmě0 ŔŐ1Śá}2Ge·q_!KĚ$;íłÝśFZg™X$ŽL ŐM©’@µ§ĂÚöBŔŞűúŃJ°ŻţäĽ-S±u«ööąÚÚM}‘9 +¨üâŞf"Äşµc_·ŁÝŞ‹&&©ŽđkĚNL€ ˘&řŠS +%},. ±|1Dęë +RPň ¬ćj}Ő¦ě”jśdVđţio[Ĺ~ËŁ~KńŰ“(Î +Cäy™(Î_xąâb2ň„+.SNKŢ’Q=r®‹„Ń~P»®iş§ËUÂSšîCި ·(®Ł2}•ůßH/‘d©*Rż75ÁwÄţeč›lůRvTš4‚IŤ—@Ť7üëŕ‘Č{ó›ü7©Ď˙âä? lń'‡&]’÷äńDiyU8Ú¨Đ;Şź4 ĄhÜ…&PĐV©ýŕÁÜ.†TÜ®d–ďőŃ…JW +é÷;Ç\xDśńpŞÚ±Ďaž9Ŕ[hÄWúĽ/ý‹P$BăwBÍ„ +ŰŔPŹ8q<˙QŞ @Ođ¤*ü±a@¶[9n’ő˦>ϱů{GdgĂö$śŚ;2Šjbč×15HĄ$ŠĺBĄ®¸“V7í¸}jëÄ$‡‚ŚL*ę*€*őWfžsÉŚŚ”ŕ\ߦ<Ó7ľ9Ň7Źë†îQßĐWŹ,_â[ bĹĚCúíĂ„NĎkž} ĺ´IëCĐóµ +ďźáۇSÝ[6¸;H×ÄAź8Ě_B·'zËJ±Żeqá˛ŕÓ.¤v›K U}ńč¶â ?“N€ű$9Z‡šŇ» 5}·Ş..6Be7 +Őî$9ßQ2ŮK» '[nÇ\UtŰ —ÔcoůHÝťő(5' †€Qž +§P¶,ŤĐQ^©×Tß +Tó’>R+Ř?A€÷„b4T»š4ޤ1*/CO +QŇs.űž'-ďCŠ5«©(F·˝b4öŠńd`ĹXz0ĹźBĘPÇUNťÇťIj|ÍÍâÍWĂ2źz…\=Y*H˝ŞDWI5jK+®`R„Ń?É*Óş÷ę+zĽ›˛5¦7Í­k&6ö8ÖxŤĆ=ôéO +ëĺ;ô "‰kă‘Ŕ‹zP5ŽÁ趑ţ˙áň…*Ěś×ÔÓľćÁFúÍ˝x’ăWĘ—2řŤ·WŘ3”ĆŚŹJ¦ 1*ş>ÜśCŕ ÷NűÔŁ­¶ó7ĂĆ#B^:D¨wđ +TáüSPbEuţĚ«”0üĄV„ G»áwż¶čţFˇWÉ(Ů €2ţĺY5ÂëôŞ{čf¨21”z~U™đFŤßW™ +_™ČA»ÎAÜŰÖöUC-ÝYĘ|y¤Żú¸§}5*ע‘»Đ¨ˇaÎRďM"ř˛y˝>ŤŰShđS‹¶™˝Ţť•Ľ”/ +¸€RřŞőóŐ…ĄÓËĚOIn#ąnąeny:Ę„J_śÝgŞ‚Yplę +Ďë±[ÍÎ+^&XřJŘ–HÝDßQq[^q#YľěZ|Bň‘˝„,ú^ţÎżW3uák¦{™ŢTDőT“Ó¨\Juč(v÷RQ[ʵ$¸ w˘‹Sę×NëÓ µVš +źĄˤ!ĐJčÇÇ^>ž;2éń†âÁVVŻţÜőßNÔűĚL`ă2T2N>.?'85§yAO¦ęľ·•\±®¬ëéâejV-jąťß¤+c­ĐCčŠŇů»vm•Ç ×sŇřÄ$Fę–ô‹x㍍lšKeęvs!§ý~qĐSq8¸‡Qŕíµ=qOČ™srĹĐg8­ô)Óa‡]Hu·@0틧ZK¦Ľę]¨¨PŔ5]wŚxYýŇ=-¦ç&ył|©Ö®t1KxlÁŤ Oú0ŐT)|OĹ‹\Őbę=*9«zŮ8T Ô%¤‹ń+řZ˛ĂJ‡ŻCĹ6Çôíˇ‰}Ä"ŐÇgęîâ`ě+p9Űn˛q«-…şuc+&Řŕ)?ĺNí¦5.ĄBâçu~ýú.ÎĂ'×ŕźËĆ7pýšcÍţÍ;WëÓ-Fź‘É!Í‘ÎTëöŐŁuŻ’"ĘőK’2íÄ÷&ËĚ…_Őž)ÉP45TJ^ÉRą‡h™˘®ÇO#§?‚  ph`ű; ×!Śx׊84LiŚÁÓD@Č`ĺ|ś"ş +j|S„ď"§˘¨ Vc:N»áLWbA׾¤V™Ţ¤sËŤ5‰’ëś’B„ˇvë÷PÇđpM°ŽdŮŻ#jűnížfh8Źč­}^é˛ÔÁŰćĽa˛ČO”H&LĐ“Ă!ôf8VVĐëžĐ¦—KŽwKĐÓáZÇĽ4 ×Ü8nj*—7.tC‰–F{ĽSѢŤ“(đÄ.,OÄRŰ^ZĐ;!Ęć2d–chréPiÝťBšň×ĘęHE 3ěę˛ŕ[”mřa;8ř5˝ě÷ Ěąđ_áÄz˛lá8&Č“ÇČĘ„xÎĆO=pPٶZSýd©bÎÜ×>ü†-k±ąź·Ä.CŻâ¤—4 3 + +Q]5ęŘáMřfűNŹ1VŇsý¸š•ç*O’öFą/ŕŹ4Ą˛ĐŰzGťFEŠý4ú˙€y&o¦ě2AäúŤ qË›‚x¦¸ÍG±ŢÄŘD7¨B–5Ő8eÍ .YSK`­ŹSXá–&#ś˛¦zXdâĎŤ +endstream +endobj +16 0 obj +<< +/Type /Page +/Parent 403 0 R +/Resources 17 0 R +/Contents 18 0 R +/CropBox [ 8 0 603 792 ] +/B [ 421 0 R ] +/MediaBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +17 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /F3 428 0 R /F4 31 0 R /F6 33 0 R >> +/ExtGState << /GS1 429 0 R >> +>> +endobj +18 0 obj +<< /Length 1710 /Filter /FlateDecode >> +stream +H‰tWÉŽăF ˝ű+xTmµJ»s 0H:@ąŚş,•me´*©=žżČ‚|o¸”ÔvǙƸ6É"}Ř®ź"Đ°ÝŻ˘üĂa“ű†,Îý,m» +ŕ°züńŁ†Ĺů¶¤źóĘl…żŮ‹-ű®˙©í﫶+ +5¬f^ +‘ÎýM +‘ç0Ő~őä§"_Gţ&ddćnꍯ#Ö‚8"ńkš¦1)ńěEľJýŘÓđó¤Ö ÎZEżFŢPóQY4<“Č®‘ÍJö@Vt«“ťŠüĐŹęÓö§•vŠÍZĄ›Ŕ5NP/Ö†¦%©†ZôúĹÖ*ó7^w€±ďaoÎĽ„ňX E9šÁÂľ €Cýj:P%O­jR—)÷µ‘YçZf +týČ´'µFĂxB‹z˝,Ş©4|Xt¬ukí‡9lżgăéâ»g|ię§Ţ0  +Lűř‹B?Íń©t‡Č˝Č›‹“?I唟%îŮY’_z”ZÇ~âAM†LČž!V­3Fnp(dĺÎJ#Ëą1ßÜ+‚ËńčÎÁ]«*'Ă8®öQnTĹ=)°›ÝLĹĚŔ­u*“őÎÉ•&βvŰ•(Ľč_iŐUp‘Y/T[vŤ8ŠŮ›Ů¸ža8źktÂÎad÷?ÄĄAÇd'r×č M[Ł;2ťWŢEU46“Ađ$˛¬»ćR­Üł7·tö JA-× vvÚó†üÖŠJ÷ăd,ď`Ő—¶ŕë—ogx†~”ÍF‰ßŚÎFá[:sZ$đŚQ@ŕ5ĘąZ +ü¤OĚyűŤËS~…Âj{ÄĐX#·Fĺä>4úrşç˘|ôŐ ˝Eh(¬ťZµ +v ”gí·HŹQVÁIEšŚŽň­T˛ń|€ŹuKl›b a•Ą„ěÍ·GŁ¬3´‰Ć˙ďBTŕ‰Ţ: #QĽ-ş ›Ôő#Ź˛JćĐ#a‹FÎ:şFh+zm'<Ćć!”Ç4Íd-D©# +d‰ĐNq0”ň2‚6Ż†Ď‚Ž9Ýća2Ăş¶^*/y¦¦’ő±xugčۢu3łß»„¨(«•#"ž!ľŻ;şśhľí©a¶ćv¦,aŔآŚ|ľs ć2¸ď§!DxŤB,U–P§=çb©[oPŐŚŚ;ÄŃá8‚EW-ŘFśˇ€ +‡¶‡@cO¦±6Ä 3ňďyP§Nżpλ;ňxę•ź-Ź'Ň2ő +¬H´¤„ÇŁP5M– 4ŮęHĺ%–ň’‡IÎJP”[ׄ5ë=žzuÓ𥶝…uŘ3Đ>šť†§Řdńa$·eŘ>´Bęä;ýŢ;e´Ť‹ł±GIČ«ęR­sĘ9Ů_{$÷m…ÍI)K¬–XM™x4Ë+(ńA#u27ív|axŐOHëř×ű¦B(đáŤM%§ŽC´śţ}˙ÖuŢ rkD¨đd¨)…WßľĹÇyç¤ÁLŤçhĘ{†ĂîAę6Ą¸đŔăpxę +‹yĄ«!ľśLŠ¶JMÂCę`4ma)‡(}žR ’Đ(÷KĄ}g]\ą3*ĽhÁśŔĂB¨ąeîÎFY:É +–Ă…r&9ög7é„‘,ťU>+Mô"Ĺ©ĐĘŕŘvĹ²ĽŃÔĚVřoÜąŠ–żŻÄTH‹/T)Ű©l~wRp–YIşó!í(÷ʢC´őkv™7`r×s×÷ĘM0;żA–ÂzŤ|›Áp‡ĘPp!hđÍť‘ÚOOÎ15±q\.­żRöU|ka»›ö{|Ń‹W`›jv5™nŔ4LŻ»›@łĄoq8¶fëîŕż(€_Ź†^‰† ´ĚŐ"¦jqŹ¤ú`ŠňH=ő.> +endobj +20 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /F3 428 0 R /F4 31 0 R /F6 33 0 R >> +/ExtGState << /GS1 429 0 R >> +>> +endobj +21 0 obj +<< /Length 2187 /Filter /FlateDecode >> +stream +H‰´WŰrăČ +}×Wôľ5S‡lŢó”Ϥ’ěVv7JĺajËES-‰YJTHj4ŢßŘ|đMębkjň»J$ű sôĂböîC¤BµX͢TřÇŁČý TYśűY¦ŰY ÖłwţG¨Ö=ŢýgşëMdžú—ľjwęüĎ[ü{ö~1 U­fŁ¬QűEŞ"?ÎUgg«ŮéOEů…aäÍí ?ŚŘ +?â”ÔĎé5ÍČŹ:ň˝ÔĎt¨ľ/;/Á[ď~¤ëÝZŮNFZ/ňëý´řë,t:Fiř&Ä T°ŕ ŕ#úAj +Q±ŘXµ¬W+ŰŮť7/üBžńC­Ľ0Đťí÷­îmŻŽ›ÚË0YyóCU•;^řlů±–Ą–…zg»r°KµęÚ­H;÷iŮY69PóĐ7ąZ<˛ÂÜF ljŻđsÝ^Śäm,lČaŰďG&đC䌉C“śÎčÍaÁ;]’ő‰ŞÍ˛]«ˇŢÚÎË1 kj^ÓËučˇqđć4&»ë +;ĐAávŢW{s‚ânĄD[e?Űę0Ô8m»RĄŞÚí–7”»ĄvřâdzęN›ôĘôUÝő(HEcŞIZ oĂÔT«zPuď neÓҶŰÖ;«ęŐ¸—ŚĂ¦ÎŞcŮĂ*:{p“jŐvŞ} •őP{&çŢĚh€‰Ď‡ţNáXŠ>z‹/Ĺ’Ë ]“u<6ůŕĐŘHŻŔićˇ>ł–†ÚťňĺĄz©0`ŁżsnË'TçÎ&¨]*w¬\ß)Šťżĺ°X1¨s‚ đ$í݇XČZřśŽĘ áW"ţÄgÄWV]iŮG]VlâĎOGB͸eę˙~AÜ`ÇX {ë+Dě¬@łđ˝ę›V޶eď€h;@a-X%R&¬Ž +›‡°(żĆ_,šMnbŃ|$:¤šĺĹŚ€D”©˝íę=ä• + }h\ÄűĘÖźĘçć…Ŕ(ełm{'D +GâĐ…ČéSÔm_ĺ†Kz#=Č„vIô"ŕË NÇÄŽ;ˇ +' Dě–ě>2C ß2xÝ7ÖŤ“żhŐćŠÇÁw,1§BşNŐřÝn'šżťýM,cöO“)űçé”ýsbÔ_vlKÍź>J@ ŕFí[ž<ň¤Eî6şSŰżYL +#˛ó˘`¦„i®˛NÍ©vç‘Şz¨KRwŞ{¸‚üň\¤ő}×.JůmŐ6Ŕ U+‰˝á„ ö–[~Š:'sŽ +ťW7ČŘ9*JçÍ©°Ďčs°Ă=Ü^h0}žâkăÍ#˛ÍŚ —ÓĄ D>‰© ”đąď% ˘U§AŞŹ4?h‰d´?X””0¦ÔŹV&ä1Č6ʸT¦ňi]'ű‘`řń$›^d´rčú,}ŰĎA>úů€t ŽuӵáC#ß !#§ł˘˙ĘÝ€„!přDбň|¨Mu˝8í"A»v`jđ⌧ işŠZ„düżőg…ôg”ú źę'~mŻ{´8ľÝ¤ÍŁŘG˝b™ĘvćzĎľ^ďP(qWŞ6SĎë¨/UČkýçĺ†7\fíŐqC'ýż˘t +r0Y aZfÓy#“Đ—» ~ Ż™6&§~âĽ%úť+§Ü×Zľ®„†˙eGää· Z˛ľjWÂ(Ą) +°ř¬[‰¦ç¬ýűß@ô,"Ŕ,\ö –żčŠł÷˘Çŕ$Hż4%My>˘[ĺ±6¸/(Űu­tȡŃ.’)ÚÉÔ›d®7ů#¤†€ˇż%ůÜpdxěäËŞFľ-b+Ý +Że÷ű™Ň+O÷XşíK!fŕGé•)Óť'ď<÷°%Ę +]kî—KÔ”ž]±’+»úX´éě#ÉáJ§cO—ŞW~~DúPŽĚÉ«'M×”ě¤čäy&|/—:Iˇ9đTŃEń¤8Ë3ýáô¨‰knÍ^đ©Vµmč‹šHÓŠF.”óç:áß\Đ%žv‹_“e)¨éž^]óÂô+îyçm7hç"š©,eS„.·â R…ÜiĽ‚“Şn®Ŕę3—Ü×ů˘ż6_¸–InŻ?“Ë^č ÷ÖąÉ\J»®µüE0 bĐŃM÷!čŐ¨ç<Ż;T¶ńýbVj™Jcś9R•óyX„ÎÎŕ‹"ň“ó)eqŢÍ<Ź¶ČϢŰű/ÄÇ8,ŐZu>âVUÜšMŇŰł“[łqFůďÖ,lŹoĎ"i޶*β/X'ń›Vý6U< +endstream +endobj +22 0 obj +<< +/Type /Page +/Parent 403 0 R +/Resources 23 0 R +/Contents 24 0 R +/CropBox [ 8 0 603 792 ] +/B [ 423 0 R ] +/MediaBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +23 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /F3 428 0 R /F6 33 0 R /F8 35 0 R >> +/ExtGState << /GS1 429 0 R >> +>> +endobj +24 0 obj +<< /Length 793 /Filter /FlateDecode >> +stream +H‰ĚWŰNŰ@}÷WĚăn%/{ł˝F·´*<ŕ7¨Ş6!ÄČNDű÷ť]Ż/i¸”ŞÉ;ŮěžsćĚd€Ł"Ú)PL#•Ç\rø€L–ePÜGfŃŢ— łăb⏩j©äŹúw=)0|ŃâgtZDćµXĘ-J–§ 6PŮh9ţ´áŠĺŇKh˘pSäL(Ż‚q®rG»0u.‰b4% +'ËŐřj[×Ô0Ić(‰Ć†Ąä?/©d†Ěhśŕ2Ą9Sdîö-ý^|‹D`m)Óś3)0@ROĹuË*˛@űŐVÔˇ[k¦ ĚkXŢZXÚ_Kgn‡ +ŽT>®h,p)ďýx ’ă:¦ >«%”ÓÁ•1ÜŘkçř~5›Í3żëňr)­j·ă†ćű,8 ‰4PśŃŇW +#)Í÷ĺÍęÎÂY” ‹¬çzU­ŞŇĄcVĄ~(HăfÇB}[>.ŕÖVöŠî·T’©¬§•h¨>_8Á4c9AŐשüÝ˝‘iĘť»Npľű 8Ż$ËTç»Đ]ł].$Ú(XF”NB”f&Důá‘3Mă“Ó&ő®(ˇT/Ö·Đ%‰]7Hr@µËű»EHĽ& iĐ%1€‰‡p‰O—śźą>/>m ;öhx~ăÍ"5čÉî´I:¤Ě7M{FmŕÜç _Ăß‘É=ĐNv§ +MžpôUŤi7ݸjş>vó%G‚a‡–NÍKĄjôłőz Ď%ŰD_óć˝YlŘ3äy—GŮ›µżÖÉúEÁ˝V·§U'5 #8>pÓ*PĎL'‘¶Ł”gaîńćË“#ű>´1×&DpNă'ŕŮÓÂ^`řëČ´&5L'  +péó ŔÇÝ. r[Ł¸H·ß1ÓßNŹˇÚ<ĘůŃöUÜ.eAS‡Ľé؇·`¨ĹüO-o<ŰF +óá;rÍ‚VëŞw]׹•ÍSŕź!DŮ#|őÚLŔĐľž€îÔ¨;Öž +šöoBóO w6î“-Çývhi†˙ý`žŘĆX +endstream +endobj +25 0 obj +<< +/Type /Page +/Parent 403 0 R +/Resources 26 0 R +/Contents 27 0 R +/CropBox [ 8 0 603 792 ] +/B [ 424 0 R ] +/MediaBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +26 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /F3 428 0 R /F4 31 0 R /F6 33 0 R /F8 35 0 R >> +/ExtGState << /GS1 429 0 R >> +>> +endobj +27 0 obj +<< /Length 2171 /Filter /FlateDecode >> +stream +H‰ĽWŰnăČ}×WÔ#ąqŘÝĽÉăx˝ŮÁíC04Ů’S¤CRöj#ůŕÔĄ)‰˛'k/™Ü—jVuWť:UşZ-ŢßP°Z/L!ţÇ!Ď‚PAeAšÂj·ałx˙Ý'›ç«’ţ<-Ľ~ĐF߇ˇěZ8˙çŻţąřvµPPĂbŇeh0* ňLeĐŰĹzqEö3±ź“nŔ÷ť‰Lá„n„a¬ČĽ÷Ă_ČDKX]ł42$]ňAMWüě-?ř*ô ‡Đ_&(? ”ú˙Xýy±úFŽŞ”ź„ł0“Źpć/•öBř¸™Ű0Lx’M‚${&IňżŽty‡2šŢq˛žý?­źyQ|,!GOž +s’ Sýehď™O@úĐ­b˛ĄP„Ž>Ů…î—â÷$đfĎÔş˝·avÓßńo_ ‹_m}ćŮs0ĚĐúʨ•čď źŁââ޶ҹ֗ đ<ŞazDE8ˇ + úřLą‚uD@ű…_äČëP—} +oÉoé9Ţ>qBĎŹ»»r:ă´“¸ivú Φwˇj·ůÇ—Ź&gGŹâ׺ŕýM"L®4ó8ÂâQôŇť{éôPĂ˙~°ĂPc ±m5| E*žJĚśĽ—ÎOµ LNˇŚÄcQÂŐG‚Eęýx +}1ZŘŘÖâ¤ë~ÇĺÝLF•I´Ęíbw˝ÄĢuµµpĺ/ó ö>ŠV™áÂ"$5ű‚€Ë +ŇĹ»nˇwmÜx+ŁŰ>đçnQňťP» +¨ěĚLż«[[ÁÝÁ‰ 7:[u;ZŮéۢ§¤ö—‡ÍňBťOTĆ=TO€k ? +Ś×@é&Ťĺ±ţţýM$ńĹLLч¤ç„Ď €~p'(Ή¤ň`{xuŃÜú9NĘĆGŚ%Ţý­âuňÁÜ^ŠÁ8łçýçeSˉ¬ĺUÚŃ6Ŕj[0Ôň ÎÚŽ‚™y#ĺ¸/š©1Kfz÷ vg[ AŠ›Ö' +ŞăSđŐ(GrĂţĚŽţĚ&<ŞÜ™.}úşńqS{]yĎKh1˛d*}ŕ~߲k >U˝Z6üť l:Ń{–ÇÖ×ř·¸kdüčśČgu»‚Mü҇°f{˝Y{Ş6Çmíç¬m©é¶ĂěMOçÜ«]f«(ĎĹź!—Ô>îyâ~ŇŞHóŐí×>1-ůäČ‹†ĎđÁ´W––LJŃ×$•Ý;|"ž´“ĆÖJŃ#h9śiETËŇĘđŠ¶"'łpë„ř^1Eő(¦Ú™á +¶—u,) ľH;ň·Ĺ(9:BŐYź¬÷ĺ±he¨ŞzDDU¶ŞËb”(ň ô{čńˇŰ÷Ą|ŽÄ óoÉáä/ú +‚ětĹHŹ űŮ»ůŰw~î}ôŤË7<Ş“NGµ{Ś>Âů#âCKě–-Ţ/¤Ń$%VLU$¤‚:Ů}‡' -v–…ď^’r¦˛¸q'fĘ}?ś©,ä+ŔÂ)3‚™övvřŞÝĘ D7˛ő…{„ý#ÁÔ¸šrEś_źFĚŮ©cžŹł‘şDÄ!č YGčW:pÓő,W~Lź(‘Ć2ĽăCZá4Đ9`Ű$­¶őf űf°gź¶µ»ŰVđŕVnŘSšFË)ŞÜwë®˝ęTSz×R0Ú +f™0®ăct©~-˙łfÇ˙ČöËŚ†˝ő1'ć4ŻçjżFóŚÍcíW¤śižŐRA )F-H.u‡<Ę@I&Ôpý¦5ÝŃ­E˘6Xd÷ Uơ˘ż±lj^„˛y!źţňĽŽŚ>Ű˙+úógI2,éQtJ´łV—ß•O-†\r޶DĐxa’‡ +_‡aUŢ#¶´±î»ť‰íaÝŰím[śšNxć€ÔńŚŽ¤%ĆﺢG·Ý!Ă°CŠŔ ×ןXÁ‰É»ö1ÝzŮr„ëz,j ńĺrçC‹´4Ô?cĹŹrŞtÚűâ|ďM @Ăvv]oˇěvĎ:”c_Ç%Ń.šš±Ś‰Ďf’DčűrdRN•@ +ęjKU>ňę ű{zFŐ‰ÔŠ3[Yu¬h„‡ľ«öČ°ř~ůĽµË§âŃQ®śÝËهýČ•ú%2¶ĺi껳˝Ąö"—~ËŚł5LĆrŻÝ*´˛Ó9V~´âGŁT€FÄFĹáxĽâć7` +rţî§T»=ÂV,UˇŞ^ŻE%Ţ­§‚> €6SvxŰoËh»lÓLü¦¶PÍÚBEma ĺűq®ŮQüJfÍtcĺşZ,TĐő.0vÎ[u5jíç¦6ĚŃ[^!ąąşżĹ·m0+f„™*ľz˙ĄÁéKěL?cíł°{äĆ®ľuCGmM×Ýď€;;Č#ň(ŽĐ˙€ťvMmŮ\¤«Ç˘ŮcT9»qýäs^ŐĺöĹĽÔ'`ÇŘEo©ĺBLUuŹÝĎGĆhs뽟@IÔP‡“s'ep—s9—ĆMMmčëö”d°Dkü»TyÄnräđĹwâÁEÚ.·uRÄŐôě&…»ä$wÚ +ľP wöĺ¤~FÖĺ¶h7ČF¶j|ëťź¬m‘Őčą·ă¶q'/Ň°¸úYË5/Á±”ŕĎŢŇŕu#‘:Bb¦ŕ—ÝľáGT®îm»nÂĂĸĺ>Ey—×NÉ›őXľ•ňäHüíe†¦Ż­Ý§„±šJ· +sŠIŤ.Ë.ŰslOŚűuÁťńz"ŽÇYžoś¨µŇ“דi›ÜžëndA}XJ?‚°D,´úID+xęUěO§áŠ‚k> +endobj +29 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /F3 428 0 R /F4 31 0 R /F6 33 0 R >> +/ExtGState << /GS1 429 0 R >> +>> +endobj +30 0 obj +<< /Length 1088 /Filter /FlateDecode >> +stream +H‰ŚVÝnë6 ľĎSpwÖPëřßÎĺ)˛ílŔ°‹dĂÁ0(Žk±­N¶xŻ±=đHĘnÓâ;-j‰ERÉO}|źB ‡ó&- Â_¶•Śb(łJ–%şM—͇ö1\śjúÜ6’4ůc‡Úöp˙#nľ;lb0°YmĄ4¤q%·¤2«ŔéÍyóHţ ď?NŘ?Ë™4ŁüË(Š rŇ- űĎÁo˘¬dŘ n¦mĹç Ú΢Äé(Â\fÔ,i&(ąQ Ő"P†Ő{–¸ęĎÎĐkÍĘ'‘­ŃbĽÖĘëÔS«ĽużcăM,ân'ÂĹ˝ Č@ü~ř /ů &ą$»{˙!°^0dî5ř˘QFzÁŮéż&Ý׳W]¬e˛¬î¬˙ţŻ!ž– x`ú§ Ń ›gĆ2­ Śe˛ełt°âH ˙Äź;[Ó ň±ŮNŽVąÓ ¦ŻE!«@Ó˛OI…"0Ž‚©?Ůé8ęS;ł’_=j´eX­żřĄ·­+™‚^QîR n­÷pĺx#µzwIš%™ŹŐŽŤv ĐęčłÔ’ßĂYC¶•qž°ćĎ~ç“qço¸q^˝ž©řŃçąn,b€‚PČ5šD¦ĺ +\˛Ä˛ő‘|Ţ) +nZTÁ3}śČăŕADÁ_ŽNR ĄW¸Iâ„ Vsíz5{öÚ +Y€Ąż=ç÷kC_WO†,KĂËéa Ü{S¬5Ł-LXŤ"Ý:ňjÉ˙°-Űşę–†ůËřŻµRŇ"׊éO ŕ¦f‚ŞSW +f„›uW.;ŤĐ¨g©ÇíşQý…kG„”u'¨éeÔťżć˙>őŰW×ék}—‹ď—¦)sY’ú? +€ +}$’AőóŘ÷+K±S'Î)(˝ćŢvš'g*‹”*Ĺ2hç¬ű"ńO˛„c_ŢŁmµCóŕŘ"ý˛Š Ĺ%xüř+Č–H ĹV”ĺŮ•>ćář,ÂzśůDÇňŐ¬íłZÚíöp4— KŽi +wő⯣”cí!˝Qô‡o—ŞM“dév…ž:ë4á`Űi4Čô[ ˘˛Ę(?öČ$‚µcz}‚©MË-™^áKČ'e–—;îöÓŔš´"GA˛')ßç|ĺ#Š5ÎŁĄÚv‚X‚‰žŠ6Ąđ”—íÄ3$÷%Çף 7Ő~‚—Ł§/Ą÷qr1Ď`7ÓŰdŹ­|~kźËü+>bóäÎdŃCcoüŠ‰m ń Ă׋š|D–Ń7ĎůI,ńe}×ČĹm•,ŹĹłj'=ŔĘýX¶ś=őôÔR5dA­¨4$ű…_żŘUÔÉĹB>¦{jYw¦ĘÁŐ‹Ć„“9s +;ÝŹoę1K_püŚÝOOkĘQáxý†uń˙Ś˙Şä({ +endstream +endobj +31 0 obj +<< +/Type /Font +/Subtype /Type1 +/Encoding /WinAnsiEncoding +/BaseFont /Helvetica-Bold +>> +endobj +32 0 obj +<< +/Type /Font +/Subtype /Type1 +/Encoding /WinAnsiEncoding +/BaseFont /Times-Roman +>> +endobj +33 0 obj +<< +/Type /Font +/Subtype /Type1 +/Encoding /WinAnsiEncoding +/BaseFont /Helvetica-Bold +>> +endobj +34 0 obj +<< +/Type /Font +/Subtype /Type1 +/Encoding /WinAnsiEncoding +/BaseFont /Times-Roman +>> +endobj +35 0 obj +<< +/Type /Font +/Subtype /Type1 +/Encoding /WinAnsiEncoding +/BaseFont /Helvetica +>> +endobj +36 0 obj +<< +/D [ 411 0 R /XYZ null null null ] +>> +endobj +37 0 obj +<< +/D [ 411 0 R /XYZ null null null ] +>> +endobj +38 0 obj +<< +/D [ 411 0 R /XYZ 80 782 null ] +>> +endobj +39 0 obj +<< +/D [ 411 0 R /XYZ 97 746 null ] +>> +endobj +40 0 obj +<< +/D [ 411 0 R /XYZ 97 56 null ] +>> +endobj +41 0 obj +<< +/D [ 411 0 R /XYZ 97 746 null ] +>> +endobj +42 0 obj +<< +/D [ 411 0 R /XYZ 97 740 null ] +>> +endobj +43 0 obj +<< +/D [ 411 0 R /XYZ 97 695 null ] +>> +endobj +44 0 obj +<< +/D [ 411 0 R /XYZ 97 645 null ] +>> +endobj +45 0 obj +<< +/D [ 411 0 R /XYZ 97 613 null ] +>> +endobj +46 0 obj +<< +/D [ 411 0 R /XYZ 97 600 null ] +>> +endobj +47 0 obj +<< +/D [ 411 0 R /XYZ 97 587 null ] +>> +endobj +48 0 obj +<< +/D [ 411 0 R /XYZ 97 573 null ] +>> +endobj +49 0 obj +<< +/D [ 411 0 R /XYZ 97 560 null ] +>> +endobj +50 0 obj +<< +/D [ 411 0 R /XYZ 97 547 null ] +>> +endobj +51 0 obj +<< +/D [ 411 0 R /XYZ 97 536 null ] +>> +endobj +52 0 obj +<< +/D [ 411 0 R /XYZ 97 515 null ] +>> +endobj +53 0 obj +<< +/D [ 411 0 R /XYZ 97 493 null ] +>> +endobj +54 0 obj +<< +/D [ 411 0 R /XYZ 97 470 null ] +>> +endobj +55 0 obj +<< +/D [ 411 0 R /XYZ 97 457 null ] +>> +endobj +56 0 obj +<< +/D [ 411 0 R /XYZ 97 444 null ] +>> +endobj +57 0 obj +<< +/D [ 411 0 R /XYZ 97 431 null ] +>> +endobj +58 0 obj +<< +/D [ 411 0 R /XYZ 97 419 null ] +>> +endobj +59 0 obj +<< +/D [ 411 0 R /XYZ 97 399 null ] +>> +endobj +60 0 obj +<< +/D [ 411 0 R /XYZ 97 315 null ] +>> +endobj +61 0 obj +<< +/D [ 411 0 R /XYZ 97 303 null ] +>> +endobj +62 0 obj +<< +/D [ 411 0 R /XYZ 97 286 null ] +>> +endobj +63 0 obj +<< +/D [ 1 0 R /XYZ null null null ] +>> +endobj +64 0 obj +<< +/D [ 1 0 R /XYZ 97 788 null ] +>> +endobj +65 0 obj +<< +/D [ 1 0 R /XYZ 97 56 null ] +>> +endobj +66 0 obj +<< +/D [ 1 0 R /XYZ 97 746 null ] +>> +endobj +67 0 obj +<< +/D [ 1 0 R /XYZ 97 735 null ] +>> +endobj +68 0 obj +<< +/D [ 1 0 R /XYZ 97 714 null ] +>> +endobj +69 0 obj +<< +/D [ 1 0 R /XYZ 97 701 null ] +>> +endobj +70 0 obj +<< +/D [ 1 0 R /XYZ 97 623 null ] +>> +endobj +71 0 obj +<< +/D [ 1 0 R /XYZ 97 611 null ] +>> +endobj +72 0 obj +<< +/D [ 1 0 R /XYZ 97 487 null ] +>> +endobj +73 0 obj +<< +/D [ 1 0 R /XYZ 97 475 null ] +>> +endobj +74 0 obj +<< +/D [ 1 0 R /XYZ 97 413 null ] +>> +endobj +75 0 obj +<< +/D [ 1 0 R /XYZ 97 400 null ] +>> +endobj +76 0 obj +<< +/D [ 1 0 R /XYZ 97 384 null ] +>> +endobj +77 0 obj +<< +/D [ 1 0 R /XYZ 97 371 null ] +>> +endobj +78 0 obj +<< +/D [ 1 0 R /XYZ 97 356 null ] +>> +endobj +79 0 obj +<< +/D [ 1 0 R /XYZ 97 341 null ] +>> +endobj +80 0 obj +<< +/D [ 1 0 R /XYZ 97 325 null ] +>> +endobj +81 0 obj +<< +/D [ 1 0 R /XYZ 97 312 null ] +>> +endobj +82 0 obj +<< +/D [ 4 0 R /XYZ null null null ] +>> +endobj +83 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +84 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +85 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +86 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +87 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +88 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +89 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +90 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +91 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +92 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +93 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +94 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +95 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +96 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +97 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +98 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +99 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +100 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +101 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +102 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +103 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +104 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +105 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +106 0 obj +<< +/D [ 4 0 R /XYZ 105 479 null ] +>> +endobj +107 0 obj +<< +/D [ 4 0 R /XYZ 97 746 null ] +>> +endobj +108 0 obj +<< +/D [ 4 0 R /XYZ 97 735 null ] +>> +endobj +109 0 obj +<< +/D [ 4 0 R /XYZ 97 714 null ] +>> +endobj +110 0 obj +<< +/D [ 4 0 R /XYZ 97 701 null ] +>> +endobj +111 0 obj +<< +/D [ 4 0 R /XYZ 97 684 null ] +>> +endobj +112 0 obj +<< +/D [ 4 0 R /XYZ 97 667 null ] +>> +endobj +113 0 obj +<< +/D [ 4 0 R /XYZ 97 636 null ] +>> +endobj +114 0 obj +<< +/D [ 4 0 R /XYZ 97 619 null ] +>> +endobj +115 0 obj +<< +/D [ 4 0 R /XYZ 97 481 null ] +>> +endobj +116 0 obj +<< +/D [ 4 0 R /XYZ 97 464 null ] +>> +endobj +117 0 obj +<< +/D [ 4 0 R /XYZ 97 205 null ] +>> +endobj +118 0 obj +<< +/D [ 4 0 R /XYZ 97 189 null ] +>> +endobj +119 0 obj +<< +/D [ 4 0 R /XYZ 97 176 null ] +>> +endobj +120 0 obj +<< +/D [ 4 0 R /XYZ 97 163 null ] +>> +endobj +121 0 obj +<< +/D [ 7 0 R /XYZ null null null ] +>> +endobj +122 0 obj +<< +/D [ 7 0 R /XYZ 97 623 null ] +>> +endobj +123 0 obj +<< +/D [ 7 0 R /XYZ 97 611 null ] +>> +endobj +124 0 obj +<< +/D [ 7 0 R /XYZ 97 410 null ] +>> +endobj +125 0 obj +<< +/D [ 7 0 R /XYZ 97 397 null ] +>> +endobj +126 0 obj +<< +/D [ 7 0 R /XYZ 97 243 null ] +>> +endobj +127 0 obj +<< +/D [ 7 0 R /XYZ 97 230 null ] +>> +endobj +128 0 obj +<< +/D [ 7 0 R /XYZ 97 217 null ] +>> +endobj +129 0 obj +<< +/D [ 7 0 R /XYZ 97 204 null ] +>> +endobj +130 0 obj +<< +/D [ 7 0 R /XYZ 97 191 null ] +>> +endobj +131 0 obj +<< +/D [ 7 0 R /XYZ 97 178 null ] +>> +endobj +132 0 obj +<< +/D [ 7 0 R /XYZ 97 165 null ] +>> +endobj +133 0 obj +<< +/D [ 7 0 R /XYZ 97 152 null ] +>> +endobj +134 0 obj +<< +/D [ 7 0 R /XYZ 97 139 null ] +>> +endobj +135 0 obj +<< +/D [ 7 0 R /XYZ 97 125 null ] +>> +endobj +136 0 obj +<< +/D [ 7 0 R /XYZ 97 112 null ] +>> +endobj +137 0 obj +<< +/D [ 7 0 R /XYZ 97 99 null ] +>> +endobj +138 0 obj +<< +/D [ 7 0 R /XYZ 97 86 null ] +>> +endobj +139 0 obj +<< +/D [ 7 0 R /XYZ 97 74 null ] +>> +endobj +140 0 obj +<< +/D [ 10 0 R /XYZ null null null ] +>> +endobj +141 0 obj +<< +/D [ 10 0 R /XYZ 97 748 null ] +>> +endobj +142 0 obj +<< +/D [ 10 0 R /XYZ 97 727 null ] +>> +endobj +143 0 obj +<< +/D [ 10 0 R /XYZ 97 714 null ] +>> +endobj +144 0 obj +<< +/D [ 10 0 R /XYZ 97 435 null ] +>> +endobj +145 0 obj +<< +/D [ 10 0 R /XYZ 97 422 null ] +>> +endobj +146 0 obj +<< +/D [ 10 0 R /XYZ 97 409 null ] +>> +endobj +147 0 obj +<< +/D [ 10 0 R /XYZ 97 332 null ] +>> +endobj +148 0 obj +<< +/D [ 10 0 R /XYZ 97 320 null ] +>> +endobj +149 0 obj +<< +/D [ 10 0 R /XYZ 97 299 null ] +>> +endobj +150 0 obj +<< +/D [ 10 0 R /XYZ 97 286 null ] +>> +endobj +151 0 obj +<< +/D [ 10 0 R /XYZ 97 698 null ] +>> +endobj +152 0 obj +<< +/D [ 10 0 R /XYZ 268 698 null ] +>> +endobj +153 0 obj +<< +/D [ 10 0 R /XYZ 332 698 null ] +>> +endobj +154 0 obj +<< +/D [ 10 0 R /XYZ 97 669 null ] +>> +endobj +155 0 obj +<< +/D [ 10 0 R /XYZ 268 669 null ] +>> +endobj +156 0 obj +<< +/D [ 10 0 R /XYZ 332 669 null ] +>> +endobj +157 0 obj +<< +/D [ 10 0 R /XYZ 97 634 null ] +>> +endobj +158 0 obj +<< +/D [ 10 0 R /XYZ 268 634 null ] +>> +endobj +159 0 obj +<< +/D [ 10 0 R /XYZ 332 634 null ] +>> +endobj +160 0 obj +<< +/D [ 10 0 R /XYZ 97 613 null ] +>> +endobj +161 0 obj +<< +/D [ 10 0 R /XYZ 268 613 null ] +>> +endobj +162 0 obj +<< +/D [ 10 0 R /XYZ 332 613 null ] +>> +endobj +163 0 obj +<< +/D [ 10 0 R /XYZ 97 592 null ] +>> +endobj +164 0 obj +<< +/D [ 10 0 R /XYZ 268 592 null ] +>> +endobj +165 0 obj +<< +/D [ 10 0 R /XYZ 332 592 null ] +>> +endobj +166 0 obj +<< +/D [ 10 0 R /XYZ 97 558 null ] +>> +endobj +167 0 obj +<< +/D [ 10 0 R /XYZ 268 558 null ] +>> +endobj +168 0 obj +<< +/D [ 10 0 R /XYZ 332 558 null ] +>> +endobj +169 0 obj +<< +/D [ 10 0 R /XYZ 97 536 null ] +>> +endobj +170 0 obj +<< +/D [ 10 0 R /XYZ 268 536 null ] +>> +endobj +171 0 obj +<< +/D [ 10 0 R /XYZ 332 536 null ] +>> +endobj +172 0 obj +<< +/D [ 10 0 R /XYZ 97 515 null ] +>> +endobj +173 0 obj +<< +/D [ 10 0 R /XYZ 268 515 null ] +>> +endobj +174 0 obj +<< +/D [ 10 0 R /XYZ 332 515 null ] +>> +endobj +175 0 obj +<< +/D [ 10 0 R /XYZ 97 494 null ] +>> +endobj +176 0 obj +<< +/D [ 10 0 R /XYZ 268 494 null ] +>> +endobj +177 0 obj +<< +/D [ 10 0 R /XYZ 332 494 null ] +>> +endobj +178 0 obj +<< +/D [ 10 0 R /XYZ 97 473 null ] +>> +endobj +179 0 obj +<< +/D [ 10 0 R /XYZ 268 473 null ] +>> +endobj +180 0 obj +<< +/D [ 10 0 R /XYZ 332 473 null ] +>> +endobj +181 0 obj +<< +/D [ 10 0 R /XYZ 97 452 null ] +>> +endobj +182 0 obj +<< +/D [ 10 0 R /XYZ 268 452 null ] +>> +endobj +183 0 obj +<< +/D [ 10 0 R /XYZ 332 452 null ] +>> +endobj +184 0 obj +<< +/D [ 10 0 R /XYZ 97 269 null ] +>> +endobj +185 0 obj +<< +/D [ 10 0 R /XYZ 215 269 null ] +>> +endobj +186 0 obj +<< +/D [ 10 0 R /XYZ 296 269 null ] +>> +endobj +187 0 obj +<< +/D [ 10 0 R /XYZ 97 243 null ] +>> +endobj +188 0 obj +<< +/D [ 10 0 R /XYZ 215 243 null ] +>> +endobj +189 0 obj +<< +/D [ 10 0 R /XYZ 296 243 null ] +>> +endobj +190 0 obj +<< +/D [ 10 0 R /XYZ 97 220 null ] +>> +endobj +191 0 obj +<< +/D [ 10 0 R /XYZ 215 220 null ] +>> +endobj +192 0 obj +<< +/D [ 10 0 R /XYZ 296 220 null ] +>> +endobj +193 0 obj +<< +/D [ 10 0 R /XYZ 97 196 null ] +>> +endobj +194 0 obj +<< +/D [ 10 0 R /XYZ 215 196 null ] +>> +endobj +195 0 obj +<< +/D [ 10 0 R /XYZ 296 196 null ] +>> +endobj +196 0 obj +<< +/D [ 10 0 R /XYZ 97 173 null ] +>> +endobj +197 0 obj +<< +/D [ 10 0 R /XYZ 215 173 null ] +>> +endobj +198 0 obj +<< +/D [ 10 0 R /XYZ 296 173 null ] +>> +endobj +199 0 obj +<< +/D [ 10 0 R /XYZ 97 150 null ] +>> +endobj +200 0 obj +<< +/D [ 10 0 R /XYZ 215 150 null ] +>> +endobj +201 0 obj +<< +/D [ 10 0 R /XYZ 296 150 null ] +>> +endobj +202 0 obj +<< +/D [ 10 0 R /XYZ 97 126 null ] +>> +endobj +203 0 obj +<< +/D [ 10 0 R /XYZ 215 126 null ] +>> +endobj +204 0 obj +<< +/D [ 10 0 R /XYZ 296 126 null ] +>> +endobj +205 0 obj +<< +/D [ 10 0 R /XYZ 97 103 null ] +>> +endobj +206 0 obj +<< +/D [ 10 0 R /XYZ 215 103 null ] +>> +endobj +207 0 obj +<< +/D [ 10 0 R /XYZ 296 103 null ] +>> +endobj +208 0 obj +<< +/D [ 10 0 R /XYZ 97 80 null ] +>> +endobj +209 0 obj +<< +/D [ 10 0 R /XYZ 215 80 null ] +>> +endobj +210 0 obj +<< +/D [ 10 0 R /XYZ 296 80 null ] +>> +endobj +211 0 obj +<< +/D [ 13 0 R /XYZ null null null ] +>> +endobj +212 0 obj +<< +/D [ 13 0 R /XYZ 97 591 null ] +>> +endobj +213 0 obj +<< +/D [ 13 0 R /XYZ 97 578 null ] +>> +endobj +214 0 obj +<< +/D [ 13 0 R /XYZ 97 566 null ] +>> +endobj +215 0 obj +<< +/D [ 13 0 R /XYZ 97 545 null ] +>> +endobj +216 0 obj +<< +/D [ 13 0 R /XYZ 97 532 null ] +>> +endobj +217 0 obj +<< +/D [ 13 0 R /XYZ 97 424 null ] +>> +endobj +218 0 obj +<< +/D [ 13 0 R /XYZ 97 411 null ] +>> +endobj +219 0 obj +<< +/D [ 13 0 R /XYZ 97 380 null ] +>> +endobj +220 0 obj +<< +/D [ 13 0 R /XYZ 97 367 null ] +>> +endobj +221 0 obj +<< +/D [ 13 0 R /XYZ 97 352 null ] +>> +endobj +222 0 obj +<< +/D [ 13 0 R /XYZ 97 337 null ] +>> +endobj +223 0 obj +<< +/D [ 13 0 R /XYZ 97 321 null ] +>> +endobj +224 0 obj +<< +/D [ 13 0 R /XYZ 97 308 null ] +>> +endobj +225 0 obj +<< +/D [ 13 0 R /XYZ 97 200 null ] +>> +endobj +226 0 obj +<< +/D [ 13 0 R /XYZ 97 187 null ] +>> +endobj +227 0 obj +<< +/D [ 13 0 R /XYZ 97 110 null ] +>> +endobj +228 0 obj +<< +/D [ 13 0 R /XYZ 97 97 null ] +>> +endobj +229 0 obj +<< +/D [ 13 0 R /XYZ 97 66 null ] +>> +endobj +230 0 obj +<< +/D [ 13 0 R /XYZ 97 741 null ] +>> +endobj +231 0 obj +<< +/D [ 13 0 R /XYZ 215 741 null ] +>> +endobj +232 0 obj +<< +/D [ 13 0 R /XYZ 296 741 null ] +>> +endobj +233 0 obj +<< +/D [ 13 0 R /XYZ 97 717 null ] +>> +endobj +234 0 obj +<< +/D [ 13 0 R /XYZ 215 717 null ] +>> +endobj +235 0 obj +<< +/D [ 13 0 R /XYZ 296 717 null ] +>> +endobj +236 0 obj +<< +/D [ 13 0 R /XYZ 97 694 null ] +>> +endobj +237 0 obj +<< +/D [ 13 0 R /XYZ 215 694 null ] +>> +endobj +238 0 obj +<< +/D [ 13 0 R /XYZ 296 694 null ] +>> +endobj +239 0 obj +<< +/D [ 13 0 R /XYZ 97 655 null ] +>> +endobj +240 0 obj +<< +/D [ 13 0 R /XYZ 215 655 null ] +>> +endobj +241 0 obj +<< +/D [ 13 0 R /XYZ 296 655 null ] +>> +endobj +242 0 obj +<< +/D [ 13 0 R /XYZ 97 632 null ] +>> +endobj +243 0 obj +<< +/D [ 13 0 R /XYZ 215 632 null ] +>> +endobj +244 0 obj +<< +/D [ 13 0 R /XYZ 296 632 null ] +>> +endobj +245 0 obj +<< +/D [ 13 0 R /XYZ 97 608 null ] +>> +endobj +246 0 obj +<< +/D [ 13 0 R /XYZ 215 608 null ] +>> +endobj +247 0 obj +<< +/D [ 13 0 R /XYZ 296 608 null ] +>> +endobj +248 0 obj +<< +/D [ 16 0 R /XYZ null null null ] +>> +endobj +249 0 obj +<< +/D [ 16 0 R /XYZ 97 746 null ] +>> +endobj +250 0 obj +<< +/D [ 16 0 R /XYZ 97 734 null ] +>> +endobj +251 0 obj +<< +/D [ 16 0 R /XYZ 97 716 null ] +>> +endobj +252 0 obj +<< +/D [ 16 0 R /XYZ 97 703 null ] +>> +endobj +253 0 obj +<< +/D [ 16 0 R /XYZ 97 657 null ] +>> +endobj +254 0 obj +<< +/D [ 16 0 R /XYZ 97 644 null ] +>> +endobj +255 0 obj +<< +/D [ 16 0 R /XYZ 97 628 null ] +>> +endobj +256 0 obj +<< +/D [ 16 0 R /XYZ 97 615 null ] +>> +endobj +257 0 obj +<< +/D [ 16 0 R /XYZ 97 569 null ] +>> +endobj +258 0 obj +<< +/D [ 16 0 R /XYZ 97 556 null ] +>> +endobj +259 0 obj +<< +/D [ 16 0 R /XYZ 97 540 null ] +>> +endobj +260 0 obj +<< +/D [ 16 0 R /XYZ 97 528 null ] +>> +endobj +261 0 obj +<< +/D [ 16 0 R /XYZ 97 497 null ] +>> +endobj +262 0 obj +<< +/D [ 16 0 R /XYZ 97 484 null ] +>> +endobj +263 0 obj +<< +/D [ 16 0 R /XYZ 97 437 null ] +>> +endobj +264 0 obj +<< +/D [ 16 0 R /XYZ 97 425 null ] +>> +endobj +265 0 obj +<< +/D [ 19 0 R /XYZ null null null ] +>> +endobj +266 0 obj +<< +/D [ 19 0 R /XYZ 97 746 null ] +>> +endobj +267 0 obj +<< +/D [ 19 0 R /XYZ 97 734 null ] +>> +endobj +268 0 obj +<< +/D [ 19 0 R /XYZ 97 716 null ] +>> +endobj +269 0 obj +<< +/D [ 19 0 R /XYZ 97 703 null ] +>> +endobj +270 0 obj +<< +/D [ 19 0 R /XYZ 97 672 null ] +>> +endobj +271 0 obj +<< +/D [ 19 0 R /XYZ 97 659 null ] +>> +endobj +272 0 obj +<< +/D [ 19 0 R /XYZ 97 454 null ] +>> +endobj +273 0 obj +<< +/D [ 19 0 R /XYZ 97 441 null ] +>> +endobj +274 0 obj +<< +/D [ 19 0 R /XYZ 97 333 null ] +>> +endobj +275 0 obj +<< +/D [ 19 0 R /XYZ 97 320 null ] +>> +endobj +276 0 obj +<< +/D [ 19 0 R /XYZ 97 308 null ] +>> +endobj +277 0 obj +<< +/D [ 19 0 R /XYZ 97 290 null ] +>> +endobj +278 0 obj +<< +/D [ 19 0 R /XYZ 97 277 null ] +>> +endobj +279 0 obj +<< +/D [ 19 0 R /XYZ 97 246 null ] +>> +endobj +280 0 obj +<< +/D [ 19 0 R /XYZ 97 233 null ] +>> +endobj +281 0 obj +<< +/D [ 19 0 R /XYZ 97 218 null ] +>> +endobj +282 0 obj +<< +/D [ 19 0 R /XYZ 97 202 null ] +>> +endobj +283 0 obj +<< +/D [ 19 0 R /XYZ 97 189 null ] +>> +endobj +284 0 obj +<< +/D [ 19 0 R /XYZ 97 158 null ] +>> +endobj +285 0 obj +<< +/D [ 19 0 R /XYZ 97 145 null ] +>> +endobj +286 0 obj +<< +/D [ 19 0 R /XYZ 97 68 null ] +>> +endobj +287 0 obj +<< +/D [ 19 0 R /XYZ 97 643 null ] +>> +endobj +288 0 obj +<< +/D [ 19 0 R /XYZ 193 643 null ] +>> +endobj +289 0 obj +<< +/D [ 19 0 R /XYZ 97 614 null ] +>> +endobj +290 0 obj +<< +/D [ 19 0 R /XYZ 193 614 null ] +>> +endobj +291 0 obj +<< +/D [ 19 0 R /XYZ 97 591 null ] +>> +endobj +292 0 obj +<< +/D [ 19 0 R /XYZ 193 591 null ] +>> +endobj +293 0 obj +<< +/D [ 19 0 R /XYZ 97 568 null ] +>> +endobj +294 0 obj +<< +/D [ 19 0 R /XYZ 193 568 null ] +>> +endobj +295 0 obj +<< +/D [ 19 0 R /XYZ 97 544 null ] +>> +endobj +296 0 obj +<< +/D [ 19 0 R /XYZ 193 544 null ] +>> +endobj +297 0 obj +<< +/D [ 19 0 R /XYZ 97 521 null ] +>> +endobj +298 0 obj +<< +/D [ 19 0 R /XYZ 193 521 null ] +>> +endobj +299 0 obj +<< +/D [ 19 0 R /XYZ 97 497 null ] +>> +endobj +300 0 obj +<< +/D [ 19 0 R /XYZ 193 497 null ] +>> +endobj +301 0 obj +<< +/D [ 19 0 R /XYZ 97 474 null ] +>> +endobj +302 0 obj +<< +/D [ 19 0 R /XYZ 193 474 null ] +>> +endobj +303 0 obj +<< +/D [ 22 0 R /XYZ null null null ] +>> +endobj +304 0 obj +<< +/D [ 22 0 R /XYZ 97 746 null ] +>> +endobj +305 0 obj +<< +/D [ 22 0 R /XYZ 97 734 null ] +>> +endobj +306 0 obj +<< +/D [ 22 0 R /XYZ 97 716 null ] +>> +endobj +307 0 obj +<< +/D [ 22 0 R /XYZ 97 703 null ] +>> +endobj +308 0 obj +<< +/D [ 22 0 R /XYZ 97 672 null ] +>> +endobj +309 0 obj +<< +/D [ 22 0 R /XYZ 97 659 null ] +>> +endobj +310 0 obj +<< +/D [ 22 0 R /XYZ 97 643 null ] +>> +endobj +311 0 obj +<< +/D [ 22 0 R /XYZ 97 630 null ] +>> +endobj +312 0 obj +<< +/D [ 22 0 R /XYZ 97 617 null ] +>> +endobj +313 0 obj +<< +/D [ 22 0 R /XYZ 97 604 null ] +>> +endobj +314 0 obj +<< +/D [ 22 0 R /XYZ 97 591 null ] +>> +endobj +315 0 obj +<< +/D [ 22 0 R /XYZ 97 578 null ] +>> +endobj +316 0 obj +<< +/D [ 22 0 R /XYZ 97 564 null ] +>> +endobj +317 0 obj +<< +/D [ 22 0 R /XYZ 97 551 null ] +>> +endobj +318 0 obj +<< +/D [ 22 0 R /XYZ 97 538 null ] +>> +endobj +319 0 obj +<< +/D [ 22 0 R /XYZ 97 525 null ] +>> +endobj +320 0 obj +<< +/D [ 22 0 R /XYZ 97 512 null ] +>> +endobj +321 0 obj +<< +/D [ 22 0 R /XYZ 97 499 null ] +>> +endobj +322 0 obj +<< +/D [ 22 0 R /XYZ 97 485 null ] +>> +endobj +323 0 obj +<< +/D [ 22 0 R /XYZ 97 472 null ] +>> +endobj +324 0 obj +<< +/D [ 22 0 R /XYZ 97 459 null ] +>> +endobj +325 0 obj +<< +/D [ 22 0 R /XYZ 97 446 null ] +>> +endobj +326 0 obj +<< +/D [ 22 0 R /XYZ 97 433 null ] +>> +endobj +327 0 obj +<< +/D [ 22 0 R /XYZ 97 420 null ] +>> +endobj +328 0 obj +<< +/D [ 22 0 R /XYZ 97 407 null ] +>> +endobj +329 0 obj +<< +/D [ 22 0 R /XYZ 97 393 null ] +>> +endobj +330 0 obj +<< +/D [ 22 0 R /XYZ 97 380 null ] +>> +endobj +331 0 obj +<< +/D [ 22 0 R /XYZ 97 367 null ] +>> +endobj +332 0 obj +<< +/D [ 22 0 R /XYZ 97 354 null ] +>> +endobj +333 0 obj +<< +/D [ 22 0 R /XYZ 97 341 null ] +>> +endobj +334 0 obj +<< +/D [ 22 0 R /XYZ 97 327 null ] +>> +endobj +335 0 obj +<< +/D [ 22 0 R /XYZ 97 314 null ] +>> +endobj +336 0 obj +<< +/D [ 22 0 R /XYZ 97 301 null ] +>> +endobj +337 0 obj +<< +/D [ 22 0 R /XYZ 97 288 null ] +>> +endobj +338 0 obj +<< +/D [ 22 0 R /XYZ 97 275 null ] +>> +endobj +339 0 obj +<< +/D [ 22 0 R /XYZ 97 262 null ] +>> +endobj +340 0 obj +<< +/D [ 22 0 R /XYZ 97 248 null ] +>> +endobj +341 0 obj +<< +/D [ 22 0 R /XYZ 97 235 null ] +>> +endobj +342 0 obj +<< +/D [ 22 0 R /XYZ 97 222 null ] +>> +endobj +343 0 obj +<< +/D [ 22 0 R /XYZ 97 209 null ] +>> +endobj +344 0 obj +<< +/D [ 22 0 R /XYZ 97 196 null ] +>> +endobj +345 0 obj +<< +/D [ 22 0 R /XYZ 97 183 null ] +>> +endobj +346 0 obj +<< +/D [ 22 0 R /XYZ 97 170 null ] +>> +endobj +347 0 obj +<< +/D [ 22 0 R /XYZ 97 156 null ] +>> +endobj +348 0 obj +<< +/D [ 22 0 R /XYZ 97 143 null ] +>> +endobj +349 0 obj +<< +/D [ 22 0 R /XYZ 97 130 null ] +>> +endobj +350 0 obj +<< +/D [ 22 0 R /XYZ 97 117 null ] +>> +endobj +351 0 obj +<< +/D [ 22 0 R /XYZ 97 104 null ] +>> +endobj +352 0 obj +<< +/D [ 22 0 R /XYZ 97 91 null ] +>> +endobj +353 0 obj +<< +/D [ 22 0 R /XYZ 97 77 null ] +>> +endobj +354 0 obj +<< +/D [ 22 0 R /XYZ 97 64 null ] +>> +endobj +355 0 obj +<< +/D [ 25 0 R /XYZ null null null ] +>> +endobj +356 0 obj +<< +/D [ 25 0 R /XYZ 97 746 null ] +>> +endobj +357 0 obj +<< +/D [ 25 0 R /XYZ 97 733 null ] +>> +endobj +358 0 obj +<< +/D [ 25 0 R /XYZ 97 720 null ] +>> +endobj +359 0 obj +<< +/D [ 25 0 R /XYZ 97 707 null ] +>> +endobj +360 0 obj +<< +/D [ 25 0 R /XYZ 97 694 null ] +>> +endobj +361 0 obj +<< +/D [ 25 0 R /XYZ 97 681 null ] +>> +endobj +362 0 obj +<< +/D [ 25 0 R /XYZ 97 668 null ] +>> +endobj +363 0 obj +<< +/D [ 25 0 R /XYZ 97 654 null ] +>> +endobj +364 0 obj +<< +/D [ 25 0 R /XYZ 97 641 null ] +>> +endobj +365 0 obj +<< +/D [ 25 0 R /XYZ 97 628 null ] +>> +endobj +366 0 obj +<< +/D [ 25 0 R /XYZ 97 615 null ] +>> +endobj +367 0 obj +<< +/D [ 25 0 R /XYZ 97 602 null ] +>> +endobj +368 0 obj +<< +/D [ 25 0 R /XYZ 97 588 null ] +>> +endobj +369 0 obj +<< +/D [ 25 0 R /XYZ 97 575 null ] +>> +endobj +370 0 obj +<< +/D [ 25 0 R /XYZ 97 562 null ] +>> +endobj +371 0 obj +<< +/D [ 25 0 R /XYZ 97 549 null ] +>> +endobj +372 0 obj +<< +/D [ 25 0 R /XYZ 97 536 null ] +>> +endobj +373 0 obj +<< +/D [ 25 0 R /XYZ 97 523 null ] +>> +endobj +374 0 obj +<< +/D [ 25 0 R /XYZ 97 509 null ] +>> +endobj +375 0 obj +<< +/D [ 25 0 R /XYZ 97 496 null ] +>> +endobj +376 0 obj +<< +/D [ 25 0 R /XYZ 97 483 null ] +>> +endobj +377 0 obj +<< +/D [ 25 0 R /XYZ 97 470 null ] +>> +endobj +378 0 obj +<< +/D [ 25 0 R /XYZ 97 457 null ] +>> +endobj +379 0 obj +<< +/D [ 25 0 R /XYZ 97 441 null ] +>> +endobj +380 0 obj +<< +/D [ 25 0 R /XYZ 97 430 null ] +>> +endobj +381 0 obj +<< +/D [ 25 0 R /XYZ 97 409 null ] +>> +endobj +382 0 obj +<< +/D [ 25 0 R /XYZ 97 396 null ] +>> +endobj +383 0 obj +<< +/D [ 25 0 R /XYZ 97 303 null ] +>> +endobj +384 0 obj +<< +/D [ 25 0 R /XYZ 97 290 null ] +>> +endobj +385 0 obj +<< +/D [ 25 0 R /XYZ 97 244 null ] +>> +endobj +386 0 obj +<< +/D [ 25 0 R /XYZ 97 231 null ] +>> +endobj +387 0 obj +<< +/D [ 25 0 R /XYZ 97 108 null ] +>> +endobj +388 0 obj +<< +/D [ 25 0 R /XYZ 97 95 null ] +>> +endobj +389 0 obj +<< +/D [ 25 0 R /XYZ 97 64 null ] +>> +endobj +390 0 obj +<< +/D [ 28 0 R /XYZ null null null ] +>> +endobj +391 0 obj +<< +/D [ 28 0 R /XYZ null null null ] +>> +endobj +392 0 obj +<< +/D [ 28 0 R /XYZ 97 747 null ] +>> +endobj +393 0 obj +<< +/D [ 28 0 R /XYZ 97 700 null ] +>> +endobj +394 0 obj +<< +/D [ 28 0 R /XYZ 97 688 null ] +>> +endobj +395 0 obj +<< +/Limits [ (F)(G374557)] +/Names [ (F)37 0 R (G374312)151 0 R (G374314)152 0 R (G374316)153 0 R (G374324) +154 0 R (G374326)155 0 R (G374328)156 0 R (G374336)157 0 R (G374338) +158 0 R (G374340)159 0 R (G374348)160 0 R (G374350)161 0 R (G374352) +162 0 R (G374360)163 0 R (G374362)164 0 R (G374364)165 0 R (G374372) +166 0 R (G374374)167 0 R (G374376)168 0 R (G374384)169 0 R (G374386) +170 0 R (G374388)171 0 R (G374396)172 0 R (G374398)173 0 R (G374400) +174 0 R (G374408)175 0 R (G374410)176 0 R (G374412)177 0 R (G374420) +178 0 R (G374422)179 0 R (G374424)180 0 R (G374432)181 0 R (G374434) +182 0 R (G374436)183 0 R (G374445)184 0 R (G374447)185 0 R (G374449) +186 0 R (G374457)187 0 R (G374459)188 0 R (G374461)189 0 R (G374469) +190 0 R (G374471)191 0 R (G374473)192 0 R (G374481)193 0 R (G374483) +194 0 R (G374485)195 0 R (G374493)196 0 R (G374495)197 0 R (G374497) +198 0 R (G374505)199 0 R (G374507)200 0 R (G374509)201 0 R (G374517) +202 0 R (G374519)203 0 R (G374521)204 0 R (G374529)205 0 R (G374531) +206 0 R (G374533)207 0 R (G374541)208 0 R (G374543)209 0 R (G374545) +210 0 R (G374553)230 0 R (G374555)231 0 R (G374557)232 0 R ] +>> +endobj +396 0 obj +<< +/Limits [ (G374565)(G374779)] +/Names [ (G374565)233 0 R (G374567)234 0 R (G374569)235 0 R (G374577)236 0 R +(G374579)237 0 R (G374581)238 0 R (G374589)239 0 R (G374591)240 0 R +(G374593)241 0 R (G374601)242 0 R (G374603)243 0 R (G374605)244 0 R +(G374613)245 0 R (G374615)246 0 R (G374617)247 0 R (G374624)287 0 R +(G374626)288 0 R (G374632)289 0 R (G374634)290 0 R (G374640)291 0 R +(G374642)292 0 R (G374648)293 0 R (G374650)294 0 R (G374656)295 0 R +(G374658)296 0 R (G374664)297 0 R (G374666)298 0 R (G374672)299 0 R +(G374674)300 0 R (G374680)301 0 R (G374682)302 0 R (G374699)38 0 R +(G374703)39 0 R (G374719)64 0 R (G374721)65 0 R (G374723)40 0 R +(G374725)41 0 R (G374727)42 0 R (G374729)43 0 R (G374731)44 0 R +(G374733)45 0 R (G374735)46 0 R (G374737)47 0 R (G374739)48 0 R +(G374741)49 0 R (G374743)50 0 R (G374745)51 0 R (G374747)52 0 R +(G374749)53 0 R (G374751)54 0 R (G374753)55 0 R (G374755)56 0 R +(G374757)57 0 R (G374759)58 0 R (G374761)59 0 R (G374763)60 0 R +(G374765)61 0 R (G374767)62 0 R (G374769)66 0 R (G374771)67 0 R +(G374773)68 0 R (G374775)69 0 R (G374777)70 0 R (G374779)71 0 R +] +>> +endobj +397 0 obj +<< +/Limits [ (G374781)(G374934)] +/Names [ (G374781)72 0 R (G374783)73 0 R (G374785)74 0 R (G374787)75 0 R (G374789) +76 0 R (G374791)77 0 R (G374793)78 0 R (G374795)79 0 R (G374797) +80 0 R (G374799)81 0 R (G374801)107 0 R (G374803)108 0 R (G374805) +109 0 R (G374807)110 0 R (G374809)111 0 R (G374811)112 0 R (G374813) +113 0 R (G374815)114 0 R (G374817)115 0 R (G374844)116 0 R (G374846) +117 0 R (G374848)118 0 R (G374850)119 0 R (G374852)120 0 R (G374854) +122 0 R (G374856)123 0 R (G374858)124 0 R (G374860)125 0 R (G374862) +126 0 R (G374864)139 0 R (G374866)141 0 R (G374868)142 0 R (G374871) +143 0 R (G374873)144 0 R (G374875)145 0 R (G374877)146 0 R (G374879) +147 0 R (G374881)148 0 R (G374883)149 0 R (G374886)150 0 R (G374888) +212 0 R (G374890)213 0 R (G374892)214 0 R (G374894)215 0 R (G374896) +216 0 R (G374898)217 0 R (G374900)218 0 R (G374902)219 0 R (G374904) +220 0 R (G374906)221 0 R (G374908)222 0 R (G374910)223 0 R (G374912) +224 0 R (G374914)225 0 R (G374916)226 0 R (G374918)227 0 R (G374920) +228 0 R (G374922)229 0 R (G374924)249 0 R (G374926)250 0 R (G374928) +251 0 R (G374930)252 0 R (G374932)253 0 R (G374934)254 0 R ] +>> +endobj +398 0 obj +<< +/Limits [ (G374936)(G375063)] +/Names [ (G374936)255 0 R (G374938)256 0 R (G374940)257 0 R (G374942)258 0 R +(G374944)259 0 R (G374946)260 0 R (G374948)261 0 R (G374950)262 0 R +(G374952)263 0 R (G374954)264 0 R (G374956)266 0 R (G374958)267 0 R +(G374960)268 0 R (G374962)269 0 R (G374964)270 0 R (G374967)271 0 R +(G374969)272 0 R (G374971)273 0 R (G374973)274 0 R (G374975)275 0 R +(G374977)276 0 R (G374979)277 0 R (G374981)278 0 R (G374983)279 0 R +(G374985)280 0 R (G374987)281 0 R (G374989)282 0 R (G374991)283 0 R +(G374993)284 0 R (G374995)285 0 R (G374997)286 0 R (G374999)304 0 R +(G375001)305 0 R (G375003)306 0 R (G375005)307 0 R (G375007)308 0 R +(G375009)309 0 R (G375011)310 0 R (G375013)311 0 R (G375015)312 0 R +(G375017)313 0 R (G375019)314 0 R (G375021)315 0 R (G375023)316 0 R +(G375025)317 0 R (G375027)318 0 R (G375029)319 0 R (G375031)320 0 R +(G375033)321 0 R (G375035)322 0 R (G375037)323 0 R (G375039)324 0 R +(G375041)325 0 R (G375043)326 0 R (G375045)327 0 R (G375047)328 0 R +(G375049)329 0 R (G375051)330 0 R (G375053)331 0 R (G375055)332 0 R +(G375057)333 0 R (G375059)334 0 R (G375061)335 0 R (G375063)336 0 R +] +>> +endobj +399 0 obj +<< +/Limits [ (G375065)(G375813)] +/Names [ (G375065)337 0 R (G375067)338 0 R (G375069)339 0 R (G375071)340 0 R +(G375073)341 0 R (G375075)342 0 R (G375077)343 0 R (G375079)344 0 R +(G375081)345 0 R (G375083)346 0 R (G375085)347 0 R (G375087)348 0 R +(G375089)349 0 R (G375091)350 0 R (G375093)351 0 R (G375095)352 0 R +(G375097)353 0 R (G375099)354 0 R (G375101)356 0 R (G375103)357 0 R +(G375105)358 0 R (G375107)359 0 R (G375109)360 0 R (G375111)361 0 R +(G375113)362 0 R (G375115)363 0 R (G375117)364 0 R (G375119)365 0 R +(G375121)366 0 R (G375123)367 0 R (G375125)368 0 R (G375127)369 0 R +(G375129)370 0 R (G375131)371 0 R (G375133)372 0 R (G375135)373 0 R +(G375137)374 0 R (G375139)375 0 R (G375141)376 0 R (G375143)377 0 R +(G375145)378 0 R (G375147)379 0 R (G375149)380 0 R (G375151)381 0 R +(G375153)382 0 R (G375155)383 0 R (G375157)384 0 R (G375159)385 0 R +(G375161)386 0 R (G375163)387 0 R (G375165)388 0 R (G375167)389 0 R +(G375169)392 0 R (G375171)393 0 R (G375173)394 0 R (G375805)127 0 R +(G375806)128 0 R (G375807)129 0 R (G375808)130 0 R (G375809)131 0 R +(G375810)132 0 R (G375811)133 0 R (G375812)134 0 R (G375813)135 0 R +] +>> +endobj +400 0 obj +<< +/Limits [ (G375814)(P.9)] +/Names [ (G375814)136 0 R (G375815)137 0 R (G375816)138 0 R (I1.374819)84 0 R +(I1.374821)86 0 R (I1.374823)88 0 R (I1.374825)90 0 R (I1.374827) +92 0 R (I1.374829)94 0 R (I1.374831)96 0 R (I1.374833)98 0 R (I1.374835) +100 0 R (I1.374837)102 0 R (I1.374839)104 0 R (I1.374841)106 0 R +(L)391 0 R (M9.1052893757)83 0 R (M9.1052893776)85 0 R (M9.1052893903) +87 0 R (M9.1052893907)89 0 R (M9.1052893949)91 0 R (M9.1052893966) +93 0 R (M9.1052894399)95 0 R (M9.1052894432)97 0 R (M9.1052894475) +99 0 R (M9.1052894823)101 0 R (M9.1058166206)103 0 R (M9.1059201963) +105 0 R (P.1)36 0 R (P.10)355 0 R (P.11)390 0 R (P.2)63 0 R (P.3) +82 0 R (P.4)121 0 R (P.5)140 0 R (P.6)211 0 R (P.7)248 0 R (P.8) +265 0 R (P.9)303 0 R ] +>> +endobj +401 0 obj +<< +/Kids [ 395 0 R 396 0 R 397 0 R 398 0 R 399 0 R 400 0 R ] +>> +endobj +402 0 obj +<< +/CreationDate (D:20010814105724) +/Producer (Acrobat Distiller 4.0 for Windows) +/Title (Untitled Document) +/Creator (FrameMaker 5.5.6p145) +/ModDate (D:20010814105726-07'00') +>> +endobj +403 0 obj +<< +/Type /Pages +/Kids [ 411 0 R 1 0 R 4 0 R 7 0 R 10 0 R 13 0 R 16 0 R 19 0 R 22 0 R 25 0 R +] +/Count 10 +/Parent 404 0 R +>> +endobj +404 0 obj +<< +/Type /Pages +/Kids [ 403 0 R 405 0 R ] +/Count 11 +>> +endobj +405 0 obj +<< +/Type /Pages +/Kids [ 28 0 R ] +/Count 1 +/Parent 404 0 R +>> +endobj +xref +0 406 +0000000000 65535 f +0000004335 00000 n +0000004503 00000 n +0000004640 00000 n +0000006704 00000 n +0000006872 00000 n +0000007020 00000 n +0000009579 00000 n +0000009747 00000 n +0000009873 00000 n +0000012859 00000 n +0000013030 00000 n +0000013146 00000 n +0000015692 00000 n +0000015863 00000 n +0000015990 00000 n +0000018836 00000 n +0000019007 00000 n +0000019134 00000 n +0000020919 00000 n +0000021090 00000 n +0000021217 00000 n +0000023479 00000 n +0000023650 00000 n +0000023777 00000 n +0000024644 00000 n +0000024815 00000 n +0000024953 00000 n +0000027199 00000 n +0000027370 00000 n +0000027497 00000 n +0000028660 00000 n +0000028769 00000 n +0000028875 00000 n +0000028984 00000 n +0000029090 00000 n +0000029194 00000 n +0000029254 00000 n +0000029314 00000 n +0000029371 00000 n +0000029428 00000 n +0000029484 00000 n +0000029541 00000 n +0000029598 00000 n +0000029655 00000 n +0000029712 00000 n +0000029769 00000 n +0000029826 00000 n +0000029883 00000 n +0000029940 00000 n +0000029997 00000 n +0000030054 00000 n +0000030111 00000 n +0000030168 00000 n +0000030225 00000 n +0000030282 00000 n +0000030339 00000 n +0000030396 00000 n +0000030453 00000 n +0000030510 00000 n +0000030567 00000 n +0000030624 00000 n +0000030681 00000 n +0000030738 00000 n +0000030796 00000 n +0000030851 00000 n +0000030905 00000 n +0000030960 00000 n +0000031015 00000 n +0000031070 00000 n +0000031125 00000 n +0000031180 00000 n +0000031235 00000 n +0000031290 00000 n +0000031345 00000 n +0000031400 00000 n +0000031455 00000 n +0000031510 00000 n +0000031565 00000 n +0000031620 00000 n +0000031675 00000 n +0000031730 00000 n +0000031785 00000 n +0000031843 00000 n +0000031899 00000 n +0000031955 00000 n +0000032011 00000 n +0000032067 00000 n +0000032123 00000 n +0000032179 00000 n +0000032235 00000 n +0000032291 00000 n +0000032347 00000 n +0000032403 00000 n +0000032459 00000 n +0000032515 00000 n +0000032571 00000 n +0000032627 00000 n +0000032683 00000 n +0000032739 00000 n +0000032795 00000 n +0000032852 00000 n +0000032909 00000 n +0000032966 00000 n +0000033023 00000 n +0000033080 00000 n +0000033137 00000 n +0000033194 00000 n +0000033250 00000 n +0000033306 00000 n +0000033362 00000 n +0000033418 00000 n +0000033474 00000 n +0000033530 00000 n +0000033586 00000 n +0000033642 00000 n +0000033698 00000 n +0000033754 00000 n +0000033810 00000 n +0000033866 00000 n +0000033922 00000 n +0000033978 00000 n +0000034037 00000 n +0000034093 00000 n +0000034149 00000 n +0000034205 00000 n +0000034261 00000 n +0000034317 00000 n +0000034373 00000 n +0000034429 00000 n +0000034485 00000 n +0000034541 00000 n +0000034597 00000 n +0000034653 00000 n +0000034709 00000 n +0000034765 00000 n +0000034821 00000 n +0000034877 00000 n +0000034932 00000 n +0000034987 00000 n +0000035042 00000 n +0000035102 00000 n +0000035159 00000 n +0000035216 00000 n +0000035273 00000 n +0000035330 00000 n +0000035387 00000 n +0000035444 00000 n +0000035501 00000 n +0000035558 00000 n +0000035615 00000 n +0000035672 00000 n +0000035729 00000 n +0000035787 00000 n +0000035845 00000 n +0000035902 00000 n +0000035960 00000 n +0000036018 00000 n +0000036075 00000 n +0000036133 00000 n +0000036191 00000 n +0000036248 00000 n +0000036306 00000 n +0000036364 00000 n +0000036421 00000 n +0000036479 00000 n +0000036537 00000 n +0000036594 00000 n +0000036652 00000 n +0000036710 00000 n +0000036767 00000 n +0000036825 00000 n +0000036883 00000 n +0000036940 00000 n +0000036998 00000 n +0000037056 00000 n +0000037113 00000 n +0000037171 00000 n +0000037229 00000 n +0000037286 00000 n +0000037344 00000 n +0000037402 00000 n +0000037459 00000 n +0000037517 00000 n +0000037575 00000 n +0000037632 00000 n +0000037690 00000 n +0000037748 00000 n +0000037805 00000 n +0000037863 00000 n +0000037921 00000 n +0000037978 00000 n +0000038036 00000 n +0000038094 00000 n +0000038151 00000 n +0000038209 00000 n +0000038267 00000 n +0000038324 00000 n +0000038382 00000 n +0000038440 00000 n +0000038497 00000 n +0000038555 00000 n +0000038613 00000 n +0000038670 00000 n +0000038728 00000 n +0000038786 00000 n +0000038843 00000 n +0000038901 00000 n +0000038959 00000 n +0000039015 00000 n +0000039072 00000 n +0000039129 00000 n +0000039189 00000 n +0000039246 00000 n +0000039303 00000 n +0000039360 00000 n +0000039417 00000 n +0000039474 00000 n +0000039531 00000 n +0000039588 00000 n +0000039645 00000 n +0000039702 00000 n +0000039759 00000 n +0000039816 00000 n +0000039873 00000 n +0000039930 00000 n +0000039987 00000 n +0000040044 00000 n +0000040101 00000 n +0000040157 00000 n +0000040213 00000 n +0000040270 00000 n +0000040328 00000 n +0000040386 00000 n +0000040443 00000 n +0000040501 00000 n +0000040559 00000 n +0000040616 00000 n +0000040674 00000 n +0000040732 00000 n +0000040789 00000 n +0000040847 00000 n +0000040905 00000 n +0000040962 00000 n +0000041020 00000 n +0000041078 00000 n +0000041135 00000 n +0000041193 00000 n +0000041251 00000 n +0000041311 00000 n +0000041368 00000 n +0000041425 00000 n +0000041482 00000 n +0000041539 00000 n +0000041596 00000 n +0000041653 00000 n +0000041710 00000 n +0000041767 00000 n +0000041824 00000 n +0000041881 00000 n +0000041938 00000 n +0000041995 00000 n +0000042052 00000 n +0000042109 00000 n +0000042166 00000 n +0000042223 00000 n +0000042283 00000 n +0000042340 00000 n +0000042397 00000 n +0000042454 00000 n +0000042511 00000 n +0000042568 00000 n +0000042625 00000 n +0000042682 00000 n +0000042739 00000 n +0000042796 00000 n +0000042853 00000 n +0000042910 00000 n +0000042967 00000 n +0000043024 00000 n +0000043081 00000 n +0000043138 00000 n +0000043195 00000 n +0000043252 00000 n +0000043309 00000 n +0000043366 00000 n +0000043423 00000 n +0000043479 00000 n +0000043536 00000 n +0000043594 00000 n +0000043651 00000 n +0000043709 00000 n +0000043766 00000 n +0000043824 00000 n +0000043881 00000 n +0000043939 00000 n +0000043996 00000 n +0000044054 00000 n +0000044111 00000 n +0000044169 00000 n +0000044226 00000 n +0000044284 00000 n +0000044341 00000 n +0000044399 00000 n +0000044459 00000 n +0000044516 00000 n +0000044573 00000 n +0000044630 00000 n +0000044687 00000 n +0000044744 00000 n +0000044801 00000 n +0000044858 00000 n +0000044915 00000 n +0000044972 00000 n +0000045029 00000 n +0000045086 00000 n +0000045143 00000 n +0000045200 00000 n +0000045257 00000 n +0000045314 00000 n +0000045371 00000 n +0000045428 00000 n +0000045485 00000 n +0000045542 00000 n +0000045599 00000 n +0000045656 00000 n +0000045713 00000 n +0000045770 00000 n +0000045827 00000 n +0000045884 00000 n +0000045941 00000 n +0000045998 00000 n +0000046055 00000 n +0000046112 00000 n +0000046169 00000 n +0000046226 00000 n +0000046283 00000 n +0000046340 00000 n +0000046397 00000 n +0000046454 00000 n +0000046511 00000 n +0000046568 00000 n +0000046625 00000 n +0000046682 00000 n +0000046739 00000 n +0000046796 00000 n +0000046853 00000 n +0000046910 00000 n +0000046967 00000 n +0000047024 00000 n +0000047081 00000 n +0000047138 00000 n +0000047195 00000 n +0000047251 00000 n +0000047307 00000 n +0000047363 00000 n +0000047423 00000 n +0000047480 00000 n +0000047537 00000 n +0000047594 00000 n +0000047651 00000 n +0000047708 00000 n +0000047765 00000 n +0000047822 00000 n +0000047879 00000 n +0000047936 00000 n +0000047993 00000 n +0000048050 00000 n +0000048107 00000 n +0000048164 00000 n +0000048221 00000 n +0000048278 00000 n +0000048335 00000 n +0000048392 00000 n +0000048449 00000 n +0000048506 00000 n +0000048563 00000 n +0000048620 00000 n +0000048677 00000 n +0000048734 00000 n +0000048791 00000 n +0000048848 00000 n +0000048905 00000 n +0000048962 00000 n +0000049019 00000 n +0000049076 00000 n +0000049133 00000 n +0000049190 00000 n +0000049247 00000 n +0000049303 00000 n +0000049359 00000 n +0000049419 00000 n +0000049479 00000 n +0000049536 00000 n +0000049593 00000 n +0000049650 00000 n +0000050808 00000 n +0000051947 00000 n +0000053108 00000 n +0000054280 00000 n +0000055452 00000 n +0000056217 00000 n +0000056301 00000 n +0000056499 00000 n +0000056646 00000 n +0000056723 00000 n +trailer +<< +/Size 406 +/ID[<68e548e8421b61d5f4ea68def6c0002d><68e548e8421b61d5f4ea68def6c0002d>] +>> +startxref +173 +%%EOF Index: rs232_syscon_1_01_xsoc.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rs232_syscon_1_01_xsoc.zip =================================================================== --- rs232_syscon_1_01_xsoc.zip (nonexistent) +++ rs232_syscon_1_01_xsoc.zip (revision 6)
rs232_syscon_1_01_xsoc.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: download.shtml =================================================================== --- download.shtml (nonexistent) +++ download.shtml (revision 6) @@ -0,0 +1,30 @@ + + +Project: rs232_syscon

+Overview | +People | +Documentation | +Download | +OpenCores Mail list | +Contact me
+

Download

+

Allright, here are "the goods!"

+

Unfortunately, there is no testbench for this core. It was developed through many design iterations in an actual Xilinx XC2V200 SpartanII FPGA, with the aid of a HP16500 series logic analyzer. This proved to be much faster than simulating, (or at least that is how we felt) since the serial-ports need thousands and thousands of clock cycles between output transitions... Or maybe we were just too "lazy" to make a testbench! But, this core does work. It is just over 1000 lines of Verilog code, with lots of comments, and it took many hours to debug it.

+ +

Downloads:

+

+

rs232_syscon_1_01_xsoc.zip. This file contains the updated rs232_syscon, which supports muxing of the stb_o and we_o lines (in addition to the adr_o lines, which were already muxed between rs232_syscon and the normal bus master.) This file shows how the rs232_syscon can be connected to a host microcontroller. In this case the microcontroller is a 16-bit RISC design, modified from the original XSOC project. This entire design takes up only about 900 Xilinx Virtex slices. The rs232_syscon uses more than half of this logic.... The RISC microcontroller runs at about 32 MHz on the XC2S200 SpartanII chip, without any floorplanning and without any aggressive timing constraints. +

+rs232_syscon_soc1.zip. +This file contains the rs232_syscon connected to a set of 8 registers, 1 of which is read only (uses "reg_8_pack.v" for the registers). It is a good example of how the tri-state data bus connects to the registers. It also has an lcd-panel test block connected to the outputs of the registers, but you can easily delete that part. +

+rs232_syscon_soc2.zip. +This file contains an additional register block (uses "reg_4_pack.v") and it also shows how the output from the registers is connected to an lcd flat-panel test module, which has a "bouncing pong-ball" on the screen, with grid lines, and an aimable "crosshairs" sight. The lcd flat-panel which was tested was from an IBM 700C laptop computer, and it required a pixel clock of around 25 MHz (this design used 49.152 MHz divided by two.) (New surplus lcd panels are available in USA from Brigar Electronics for only $12.95US! If you get one, email us and we will send you a connection diagram.) +

+rs232_syscon_soc3.zip. +This file contains rs232_syscon, connected to some instantiations of Xilinx dual-ported block-RAM. Using the registers, the user can write to the 16-bit side of the memory block, and read from the 32-bit side! The memory block is arranged to be "little endian." +

+rs232_syscon_1_00_source.zip.This file contains only "rs232_syscon.v" and "serial.v". The serial port function is in "serial.v" This is the bare minimum design. +

+ + Index: index.shtml =================================================================== --- index.shtml (nonexistent) +++ index.shtml (revision 6) @@ -0,0 +1,8 @@ + + +Project: rs232_syscon

+People | +Documentation | +Download | +OpenCores Mail list | +Contact me

Description

rs232_syscon is a synthesizeable soft core that allows debugging of peripherals connected to a Wishbone type of bus. Specifically, it lets the user write and read registers, and send out reset pulses, via an rs232 serial connection to a "dumb terminal." (Such as windows "hyperterm"!) It is completely scalable through parameter settings, to accomodate address and data buses of any arbitrary size. Furthermore, the rs232_syscon module can share the Wishbone bus with the master (presumably a processor of some kind). It implements a handshaking protocol with the master to "request" the bus. When the master grants access, the rs232_syscon runs bus cycles on its own, to report contents of registers and memory back to the user, in an easy-to-read hexadecimal format. This is very useful when debugging peripherals -- you can set the contents of memory, set up registers, and even use registers to control "single stepping" of your target processor. If desired, the rs232_syscon can be the sole master of the Wishbone bus, to perform "human-speed" tests on peripherals (set a value, check a result) without having to connect the peripheral to a processor.

The "ack_i" and "err_i" signals of the Wishbone bus are used to determine if the bus cycles are correctly executed. The rs232_syscon module uses a "watchdog" timer to determine if "ack_i" has arrived too late, and if so, it sends an error indicator back to the host terminal. If "err_i" occurs, it also sends back a bus error indicator. The timeout value of the watchdog timer is configurable by parameters to whatever length is needed, and the bus cycles are automatically extended for as many clocks as needed until the "ack_i" signal is received. If "ack_i" is not used, simply tie it high.

The design team of rs232_syscon welcomes any kind of help and feedback on this core. If you are interested in further development of this project, please contact us.


Current Status:

  • This core now supports three basic commands: 'r' (read), 'w' (write) and 'i' (initialize = reset).
  • The read/write commands allow a quantity field, to specify multiple writes or reads (using consecutive addresses).
  • There are no technology-dependent elements used in this core.
  • The data bus is currently a tri-stated bus, although separate dat_i/dat_o buses could easily be supported.
  • The design consumes 400-700 Xilinx Virtex slices (depending on parameters)
  • The core runs at around 40MHz on Xilinx SpartanII, with about 8-12 registers and some dual-ported block RAM attached to the bus.
  • The interface is currently implemented as a large state-machine (no processor is involved.)
  • The command structure is very simple and "sparse."

In the future, a version could be implemented using a small microcontroller core with some integrated software, which would probably be more compact and flexible, with a richer command set. But, since we wanted to use this core to _develop_ microcontroller cores -- well, it was a case of "which came first, the chicken or the egg?" We had to start somewhere!

Next Steps:

  • Use rs232_syscon to build and debug a small microcontroller, which may form a better rs232_syscon in the future.
  • Extend the command set, to make it more useful.

Maintainer(s):

Mailing-list:

Index: rs232_syscon_autobaud.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rs232_syscon_autobaud.zip =================================================================== --- rs232_syscon_autobaud.zip (nonexistent) +++ rs232_syscon_autobaud.zip (revision 6)
rs232_syscon_autobaud.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: rs232_syscon1.doc =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rs232_syscon1.doc =================================================================== --- rs232_syscon1.doc (nonexistent) +++ rs232_syscon1.doc (revision 6)
rs232_syscon1.doc Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: rs232_syscon_soc1.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rs232_syscon_soc1.zip =================================================================== --- rs232_syscon_soc1.zip (nonexistent) +++ rs232_syscon_soc1.zip (revision 6)
rs232_syscon_soc1.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: documentation.shtml =================================================================== --- documentation.shtml (nonexistent) +++ documentation.shtml (revision 6) @@ -0,0 +1,17 @@ + + +Project: rs232_syscon

+Overview | +People | +Documentation | +Download | +OpenCores Mail list | +Contact me
+

Documentation

+The user's guide (PDF format) is now available for download:

+ +

User's guide (ver 1.00)(pdf)

+

User's guide (ver 1.00)(html)

+

User's guide (ver 1.00)(word)

+ + Index: rs232_syscon_soc2.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rs232_syscon_soc2.zip =================================================================== --- rs232_syscon_soc2.zip (nonexistent) +++ rs232_syscon_soc2.zip (revision 6)
rs232_syscon_soc2.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: rs232_syscon.htm =================================================================== --- rs232_syscon.htm (nonexistent) +++ rs232_syscon.htm (revision 6) @@ -0,0 +1,453 @@ + + + + +nnARM Architecture Specification + + + +

+

Rs232_syscon

+

User’s Guide

+

version 1.00

+

+

 

+

 

+

 

+

 

+

 

+

Writen By John Clayton

+

Scottsdale, AZ

+

2001.8.13

+

+

 

+

 

+

 

+

Purpose:

+

This document describes some of the design features of "rs232_syscon.v" (a softcore written in Verilog.) It is intended to facilitate new users in understanding what is available in the core, and how to use it. Also, the Verilog code itself is replete with comments, so that additional insights into the operation of this core can be gained by reviewing the code.

+ +

Release Log

+

V1.00 Aug. 13, 2001

+
+

 

+

1.Introduction

+
+

The name "rs232_syscon" comes from rs232 (serial communication standard) and an abbreviated contraction of "system controller." The core actually uses LVTTL levels for the serial connection, and the user is responsible for providing level shifting translators to achieve rs232 standard voltage levels.

+ +

The rs232_syscon project was conceived on May 30, 2001. It’s purpose was to develop a "serial-port-to-bus-interface" core suitable for debugging some other ps2_mouse and ps2_keyboard interface cores which were under development at the time… Those other cores were quickly completed, but the actual development of rs232_syscon was more complicated than originally thought, and it ended up taking longer to complete. Luckily, as its development progressed, rs232_syscon became simpler instead of more complicated.

+ +

After many hours of debugging and coding, the rs232_syscon core is now functional, and it has been successfully used to test out memory blocks and register blocks as part of a "system on a chip" (SOC) design effort.

+
+

This document describes the following:

+ + +
    +
  • The connection diagram of rs232_syscon.
  • +
  • The command syntax of rs232_syscon.
  • +
  • The serial interface BAUD rate generators.
+ +
+

In reality, rs232_syscon is a simple core to use – once it is connected to the data and address buses and the BAUD rate is adjusted, it can be used immediately. It does not contain any architecture specific blocks, so it easily ports to different FPGA and even ASIC platforms.

+
+

2. rs232_syscon connections

+

+

2.1 Block diagram

+
+

A top-level block diagram of rs232_syscon being used in a system is shown in figure2.1 below.

+ +

Since the address bus is not bidirectional, it is an output from the host processor, and also an output from rs232_syscon. In order to select which device gets to drive the address bus, a multiplexer is implemented inside of rs232_syscon. This introduces some additional delay in the address bus, which is considered an acceptable tradeoff in exchange for the enhanced debugging capability of rs232_syscon. Besides, when debugging is completed, the mux can be removed (or "hard wired" by a parameter at compile time, which will also result in the eventual removal of the mux because of optimization in the synthesis and routing tools…)

+ +

+

figure 2.1

+

+

 

+

The data bus is implemented as a tri-state bus, so that it can be bi-directional without requiring the use of multiplexers. The designers of rs232_syscon were aware that the Wishbone standard seems to encourage a split data bus (dat_i for input data and dat_o for output data) but found that the Wishbone standard also allows for tri-state connections (See Wishbone spec. page 66). The tri-state data bus was chosen in order to reduce the number of internal interconnects needed to implement the bus. If a tri-state bus is unacceptable for your application, the rs232_syscon block can be easily modified to add "dat_i" and "dat_o" ports in place of the existing "dat_io" port, and the tri-state buffering can be removed. This is not difficult for a Verilog programmer to accomplish, and it does not require any major functional modifications to the rs232_syscon block. The same handshaking structure that is used for address bus multiplexing ("master_br_o" and "master_bg_i") could also be used to control the data bus multiplexers.

+

+

The handshaking scheme in rs232_syscon allows the rs232_syscon to request access to the bus from the normal bus master. This is accomplished through the "master_br_o" and "master_bg_i" pins. Once the bus request (br) is detected at the normal bus master, it should finish the current operation, and then assert and keep asserting "master_bg" to rs232_syscon. As long as the bus grant (bg) line is asserted into rs232_syscon, then rs232_syscon will know that it has control of the bus. Also, when rs232_syscon finishes generating its bus cycles, it does not check or wait for the bus grant line to be deasserted. Therefore, those who wish to test peripherals, memory or registers without another master on the bus, can simply tie "master_bg_i" high, or just connect it to the rs232_syscon’s "master_br_o" and forget about that handshaking interface.

+

+

The bus cycles generated by rs232_syscon are one clock long. The clock which is used with rs232_syscon can vary up to the maximum speed allowed by the architecture in which it is being used. In a Xilinx SpartanII device (XC2S200) it synthesized with a maximum clock speed of around 45 MHz, although most of the testing was done at around 25 MHz. The length of each bus cycle is extended until the "ack_i" signal is received by rs232_syscon. If the watchdog timer expires before "ack_i" is received, then a bus error message is generated for the user. Similarly, if the "err_i" signal is received, then a bus error message is generated for the user.

+

+

+

2.2 Parameter listing

+

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+

Parameter Name

+

Range

+

Function

+

ADR_DIGITS_PP

+

1..?

+

Width of address bus in nibbles (4 => 16bits, 8 => 32 bits)

+

DAT_DIGITS_PP

+

1..?

+

Width of data bus in nibbles

+

QTY_DIGITS_PP

+

1..?

+

Width of qty counter in nibbles

+

CMD_BUFFER_SIZE_PP

+

16/32/64

+

Characters in command buffer (drives logic size significantly)

+

CMD_PTR_BITS_PP

+

4/5/6

+

Width of pointer to command buff.

+

WATCHDOG_TIMER_VALUE_PP

+

1..?

+

Number of clocks before timer expires

+

WATCHDOG_TIMER_BITS_PP

+

1..?

+

Number of bits in watchdog timer

+

RD_FIELDS_PP

+

1..?

+

Number of columns shown for read

+

RD_FIELD_COUNT_BITS_PP

+

1..?

+

Number of bits in rd_field_count

+

RD_DIGIT_COUNT_BITS_PP

+

1..?

+

Number of bits in rd_digit_count

+ +

+

 

+

The defaults for these parameters are given in the Verilog code. The settings are related to each other, as explained in the code also. For instance, if the watchdog_timer is set to expire after 32000 clocks, then there must be 15 bits in the watchdog timer, so that parameter must be set accordingly.

+

+

2.3 Pinout description (port listing)

+

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+

Name

+

Size

+

Function

+

clk_i

+

1

+

Clock input

+

reset_i

+

1

+

Resets rs232_syscon unit

+

master_bg_i

+

1

+

Grants bus to rs232_syscon

+

ack_i

+

1

+

Wishbone bus cycle acknowledge

+

err_i

+

1

+

Wishbone bus cycle error

+

rs232_rxd_i

+

1

+

rs232 serial port data input

+

dat_io

+

parameter

+

data bus (tri-state)

+

rst_o

+

1

+

Wishbone reset output

+

master_br_o

+

1

+

Requests bus for rs232_syscon

+

stb_o

+

1

+

Wishbone strobe output

+

cyc_o

+

1

+

Wishbone cycle output (wired to stb_o in this version)

+

adr_o

+

parameter

+

address bus

+

we_o

+

1

+

Wishbone write enable output

+

rs232_txd_o

+

1

+

rs232 serial port data output

+ +

+

 

+

3.0 Command syntax

+

+

The commands for rs232_syscon are very simple. There are three of them – read, write and initialize (reset). The spacing of the characters in the command does not matter – spaces and tabs are considered "whitespace." The enter key terminates the command, and begins the process of parsing and executing the command. All numbers are given in hexadecimal. Hexadecimal numbers are printed using capitalized letters, but case does not matter when entering commands.

+

+

The command syntax is as follows, where "aaaa" refers to address, "dddd" refers to data, and "qq" refers to quantity.

+

+

Write command: w aaaa dddd qq

+

Read command: r aaaa qq

+

Initialize command: i

+

+

The command character (w,r,i) is the only required part of the command. If the data field is left out, then the previous value is assumed. If the address field is left out, then the previous value is assumed. Default values for address and data are both zero. An exception to this rule is the quantity field (qq), which does NOT assume the previous value. Instead, if quantity is not specified, it assumes the value "1," which is generally what the user intends for a command that does not specify quantity.

+

+

When entering quantity explicitly, the value zero is allowed. In that case, the command will do nothing. No bus cycles will be generated. For quantity values greater than one, the address field is automatically incremented during the subsequent iterations of the command loop. However, the data remains the same.

+

+

Extra fields can be entered after the "i" command, but they will not have any effect.

+

+

 

+

3.1 Numerical Field length

+

+

Using too few characters for a given numerical field will not produce an error – for instance, if the address/data buses are 16-bits wide, and you wish to enter the value 0005 into address 0017, it is sufficient to say:

+

+

w 17 5 [enter]

+

+

The leading zeros are assumed by the command parser. Similarly, if too many digits are used, only the appropriate number of digits (the right hand ones) are used. For instance, consider the command:

+

+

w 5434540017 66677560005 [enter]

+

+

This would have the same effect as the first example, because only the four digits on the right side are used (0017 and 0005 respectively).

+

+

backspace is allowed, and the cursor will move inside the rs232 terminal to indicate that a character has been "deleted" from the command line, even if the character itself still shows up on the terminal screen.

+

+

The maximum number of characters that can be entered on the command line is determined by the size of the command buffer (a parameter setting.) When that length is reached, if the [enter] key has not yet been pressed, a ‘?’ message will be returned, indicating a parsing error.

+

+

 

+

3.1 Parsing errors

+

+

The different responses which can be generated from rs232_syscon are listed here:

+

+ + + + + + + + + + + + + + + + + + + + + + + + + +
+

Response

+

Meaning

+

OK

+

The command was parsed and executed without error

+

?

+

Line length exceeded

+

A?

+

Address field parsing error

+

D?

+

Data field parsing error

+

Q?

+

Quantity field parsing error

+

!

+

"err_i" or else watchdog timeout before "ack_i"

+

B!

+

Watchdog timeout before bus grant

+ +

+

The watchdog timer is used twice during the execution of a command. The first time it is used to determine if there was a timeout for obtaining the bus, and the second time it is used to determine if the bus cycle timed out, with no "ack_i" response. Therefore, a slow master together with a slow peripheral could conceivably use almost twice the time period of the watchdog timer, in order to complete the execution of the command.

+

+

 

+

3.2 Initial power up

+

+

The initial power up of rs232_syscon produces the following stream of characters which are sent to the terminal:

+

+

0123456789ABCDEF

+

-> [cursor]

+

+

This initial stream of characters can be used to verify that your terminal is set to the correct BAUD rate.

+

+

Also, no activity is generated to reset the peripherals on the bus upon initial power up. Therefore, in order to reset the peripherals on the bus, an explicit "i" command must be issued. Resetting the rs232_syscon unit will return all quantities to zero, but it will not generate the "rst_o" signal which is generated by the "i" command.

+

+

 

+

3.3 Actual session logfile

+

+

Here is the text from part of a debugging session using the rs232_syscon module (none of the bus error responses are shown here):

+

+

<Session begins>

+

0123456789ABCDEF

+

-> w 1 55 1

+

OK

+

-> w 1 5a

+

OK

+

-> w 4 4c

+

OK

+

-> w 2 140

+

OK

+

-> w 3 100

+

OK

+

-> w 4 5c

+

OK

+

-> w 4 6c

+

OK

+

-> w 2 150

+

OK

+

-> w 3 f8

+

OK

+

-> w 3 f0

+

OK

+

-> w 3 f8

+

OK

+

-> w 3 f7

+

OK

+

-> w 3 48

+

OK

+

-> r 3

+

0003 : 0048 OK

+

-> r 0 8

+

0000 : 0000 005A 0150 0048 006C 0000 0000 0000

+

OK

+

-> r 0 10

+

0000 : 0000 005A 0150 0048 006C 0000 0000 0000

+

0008 : 0000 005A 0150 0048 006C 0000 0000 0000

+

OK

+

-> r 0 20

+

0000 : 0000 005A 0150 0048 006C 0000 0000 0000

+

0008 : 0000 005A 0150 0048 006C 0000 0000 0000

+

0010 : FFFF FFFF FFFF FFFF FFFF FFFF FFFF FFFF

+

0018 : FFFF FFFF FFFF FFFF FFFF FFFF FFFF FFFF

+

OK

+

-> w 3 58

+

OK

+

-> w 3 68

+

OK

+

-> r 0 10

+

0000 : 0000 0068 0068 0068 0068 0068 0068 0068

+

0008 : 0000 0068 0068 0068 0068 0068 0068 0068

+

OK

+

-> w 3 58 1

+

OK

+

-> w 4 68 1

+

OK

+

-> r 0 10

+

0000 : 0000 0068 0068 0058 0068 0068 0068 0068

+

0008 : 0000 0068 0068 0058 0068 0068 0068 0068

+

OK

+

-> w 4 6c 1

+

OK

+

-> w 4 7c 1

+

OK

+

-> w 1 f4 1

+

OK

+

-> r 0 8

+

0000 : 0000 00F4 0068 0058 007C 0068 0068 0068

+

OK

+

<session ends>

+

+

4.0 BAUD rate generators

+

+

The BAUD rate used in rs232_syscon is determined by a in internal signal called "serial_clk_16x." This signal is not actually implemented on a clock net. Instead, it is a clock enable. Using a clock enable for this slow signal is perfectly acceptable in most cases, and it has the advantage that it does not use an additional dedicated clock resource on the target FPGA.

+

+

As indicated by the name, the signal occurs at 16 times the desired BAUD clock rate. For 115,200 BAUD it is a high pulse which occurs for one single "clk_i" clock period, at a rate of 16*115200 = 1.8432 MHz.

+

+

This clock enable pulse is derived from a higher frequency clock on your board, by a small DDS (Direct Digital Synthesizer.) It sounds more complicated than it is. This DDS does not produce a sine-wave output, it merely produces a single pulse at the desired rate. You can use different modules from "serial.v" in order to do this. The "clock_gen_select" allows you to use a lookup table of DDS phase increment values (which are directly related to the output frequency) so that the BAUD rate can be changed easily between common values.

+

+

On the other hand, you could choose to use the "clk_gen" module from serial.v in order to generate a single, fixed BAUD clock.

+

+

You will almost certainly need to re-calculate the DDS "frequency" inputs for use with your board, since you will undoubtedly be using some clock other than the 49.152 MHz which I chose to use.

+

+

However, the nature of the DDS circuit is such that you can most likely find a way to make it work without having to change your system clock frequency. Almost anything can be made to work. Some frequency error is tolerable in the BAUD clocks, and by making the DDS bigger then more and more resolution is obtained until it works for your frequency... Detailed instructions are given in "serial.v" on how to recalculate new values for use in your application. Or you can simply get a different clock!

+ Index: rs232_syscon_soc3.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rs232_syscon_soc3.zip =================================================================== --- rs232_syscon_soc3.zip (nonexistent) +++ rs232_syscon_soc3.zip (revision 6)
rs232_syscon_soc3.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: srec_to_rs232.pl =================================================================== --- srec_to_rs232.pl (nonexistent) +++ srec_to_rs232.pl (revision 6) @@ -0,0 +1,67 @@ +#!/usr/bin/perl -w +print "\nMotorola S-record to rs232_syscon command translator."; +print "\nFilename to translate? "; +$filename = ; +chomp ($filename); +print "\nReading file \"$filename\"\n"; +open (SRECORDFILE,$filename) || + die "\nCan't open \"$filename\" for input.\n"; + +# Handle getting a new extension for the output filename +$i = index($filename,"."); + # If no period is found, simply add the extension to the end. +if ($i < 0) { $i = length($filename); } +substr($filename,$i,4) = ".232"; + +# Open the output file +open (OUTPUTFILE,">".$filename) || + die "\nCan't open \"$filename\" for output.\n"; + +$line_number = 0; +while ($line = ) { + # increment the line number counter + $line_number += 1; + # ignore lines that begin with semicolon + if (index($line,";")==0) { next; } + # Get the position of the start of data + # (Usually there is a colon at the very start of the line...) + $i = index($line,":"); + if ($i < 0) { + print "\nError! No colon found on line: $line_number"; + last; + } + # Get the length of the line + $line_length = hex(substr($line,($i+1),2)); + if ($line_length == 0) { + print "0"; + next; + } + + # Extract the starting address + $line_starting_address = hex(substr($line,($i+3),4)); + + # Extract the data substring - length is in units of bytes, + # but each character is 1/2 byte, so multiply by 2. + $line_data = substr($line,($i+9),($line_length*2)); + + # Send data characters to output file as rs232_syscon commands + # increment by 2 in order to send 1 byte per command... + for ($i=0;$i<($line_length*2);$i+=2) { + $j = $line_starting_address + $i/2; + $j = sprintf "%lx",$j; # Convert address to hexadecimal + $byte = substr($line_data,$i,2); + print OUTPUTFILE "w $j $byte\n"; + } + +# Verbose debug information... +# print "\nline $line_number: starts at $line_starting_address "; +# print "length is $line_length "; +# print "data is $line_data "; + # Print a little period for each line processed... + # (to complement the 0 printed for zero length lines encountered.) + print "."; + } + +#Close all open files +close (SRECORDFILE); +close (OUTPUTFILE); Index: b11_risc16f84_05_03_02.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: b11_risc16f84_05_03_02.zip =================================================================== --- b11_risc16f84_05_03_02.zip (nonexistent) +++ b11_risc16f84_05_03_02.zip (revision 6)
b11_risc16f84_05_03_02.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: b13_safe_09_17_02.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: b13_safe_09_17_02.zip =================================================================== --- b13_safe_09_17_02.zip (nonexistent) +++ b13_safe_09_17_02.zip (revision 6)
b13_safe_09_17_02.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: rs232_syscon_1_00_source.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rs232_syscon_1_00_source.zip =================================================================== --- rs232_syscon_1_00_source.zip (nonexistent) +++ rs232_syscon_1_00_source.zip (revision 6)
rs232_syscon_1_00_source.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: people.shtml =================================================================== --- people.shtml (nonexistent) +++ people.shtml (revision 6) @@ -0,0 +1,14 @@ + + +Project: rs232_syscon

+Overview | +People | +Documentation | +Download | +OpenCores Mail list | +Contact me
+

+The People

+John E. Clayton from Scottsdale, Arizona, USA

+ + Index: Image4.gif =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: Image4.gif =================================================================== --- Image4.gif (nonexistent) +++ Image4.gif (revision 6)
Image4.gif Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: b10_safe_12_18_01_dual_path.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: b10_safe_12_18_01_dual_path.zip =================================================================== --- b10_safe_12_18_01_dual_path.zip (nonexistent) +++ b10_safe_12_18_01_dual_path.zip (revision 6)
b10_safe_12_18_01_dual_path.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.