OpenCores
URL https://opencores.org/ocsvn/spacewire_light/spacewire_light/trunk

Subversion Repositories spacewire_light

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /spacewire_light/trunk/sw/spwamba_test
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/spwamba_test.dsu Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/spwamba_test.c
11,7 → 11,7
* The following defines are set in the Makefile:
* TXCLKFREQ TX base clock frequency in MHz
* DESCTABLESIZE Size of descriptor table as 2-log of nr of descriptors
* QUEUEFILL Number of bytes to almost fill up TX and RX queues
* QUEUEFILL Number of bytes needed to ALMOST fill up TX and RX queues
* LOOPBACKSWITCH 1 if the spacewire loopback can be switched through UART RX enable
*/
 
/Makefile
8,7 → 8,7
# In that case the program is built by sim/spwamba_leon3/Makefile.
#
 
SWDEFS = -DTXCLKFREQ=200 -DDESCTABLESIZE=10 -DQUEUEFILL=1760 -DLOOPBACKSWITCH=0
SWDEFS = -DTXCLKFREQ=200 -DDESCTABLESIZE=10 -DQUEUEFILL=1980 -DLOOPBACKSWITCH=0
 
CC = sparc-elf-gcc
CFLAGS = -msoft-float -Wall -Os

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.