URL
https://opencores.org/ocsvn/spacewire_light/spacewire_light/trunk
Subversion Repositories spacewire_light
Compare Revisions
- This comparison shows the changes necessary to convert path
/spacewire_light/trunk
- from Rev 9 to Rev 10
- ↔ Reverse comparison
Rev 9 → Rev 10
/rtl/vhdl/spwahbmst.vhd
685,7 → 685,7
ahbo.hwrite <= r.hwrite; |
ahbo.hwdata <= r.hwdata; |
ahbo.hlock <= '0'; -- never lock the bus |
ahbo.hsize <= HSIZE_DWORD; -- always 32-bit words |
ahbo.hsize <= HSIZE_WORD; -- always 32-bit words |
ahbo.hburst <= HBURST_INCR; -- undetermined incremental burst |
ahbo.hprot <= "0011"; -- not cacheable, privileged, data |
ahbo.hirq <= (others => '0'); -- no interrupts via AHB bus |
/rtl/vhdl/spwamba.vhd
485,11 → 485,14
variable v: regs_type; |
variable v_tmprxroom: unsigned(rxfifosize-1 downto 0); |
variable v_prdata: std_logic_vector(31 downto 0); |
variable v_irq: std_logic_vector(NAHBIRQ-1 downto 0); |
variable v_txfifo_bytepos: integer range 0 to 3; |
begin |
v := r; |
v_tmprxroom := to_unsigned(0, rxfifosize); |
v_prdata := (others => '0'); |
v_irq := (others => '0'); |
v_irq(pirq) := r.irq; |
|
-- Convert RX/TX byte index to integer. |
v_txfifo_bytepos := to_integer(unsigned(r.txfifo_bytepos)); |
839,8 → 842,7
|
-- Drive APB output signals. |
apbo.prdata <= v_prdata; |
apbo.pirq <= (others => '0'); |
apbo.pirq(pirq) <= r.irq; |
apbo.pirq <= v_irq; |
apbo.pconfig <= pconfig; |
apbo.pindex <= pindex; |
|
/sw/spwamba_test/spwamba_test.dsu
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/README.txt
61,6 → 61,10
Version history |
--------------- |
|
2011-08-11 |
* spwahbmst.vhd: Fix incorrect "hsize" indication on AHB access. |
Many thanks to Søren Larsen for reporting this bug. |
|
2011-07-10 |
* spwamba_test.c: Longer delays to run correctly on 100 MHz LEON3. |
* spwltest.c: Allow smaller user-defined block size; skip unnecessery tests. |