OpenCores
URL https://opencores.org/ocsvn/spi_master_slave/spi_master_slave/trunk

Subversion Repositories spi_master_slave

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 21 to Rev 22
    Reverse comparison

Rev 21 → Rev 22

/spi_master_slave/trunk/rtl/spi_slave.vhd
127,8 → 127,9
-- data, the last data word is repeated.
-- 2011/08/08 v2.02.0123 [JD] ISSUE: continuous transfer mode bug, for ignored 'di_req' cycles. Instead of repeating the last data word,
-- the slave will send (others => '0') instead.
-- 2011/08/28 v2.02.0126 [JD] ISSUE: the miso_o MUX that preloads tx_bit when slave is desselected will glitch for CPHA='1'.
-- FIX: added a registered drive for the MUX select that will transfer the tx_reg only after the first tx_reg update.
--
--
-----------------------------------------------------------------------------------------------------------------------
-- TODO
-- ====
174,6 → 175,8
-- circuitry.
-- The same is valid for the transmit and receive ports. If the receive ports are not mapped, the
-- synthesis tool will remove the receive logic from the generated circuitry.
-- Alternatively, you can remove these ports and related circuitry once the core is verified and
-- integrated to your circuit.
--================================================================================================================
 
architecture rtl of spi_slave is
188,7 → 191,7
-- especially for the Spartan-6 and newer CLB architectures, where a local reset can
-- reduce the usability of the slice registers, due to the need to share the control
-- set (RESET/PRESET, CLOCK ENABLE and CLOCK) by all 8 registers in a slice.
-- By using GSR for the initialization, and reducing RESET local init to the bare
-- By using GSR for the initialization, and reducing RESET local init to the really
-- essential, the model achieves better LUT/FF packing and CLB usability.
------------------------------------------------------------------------------------------
-- internal state signals for register and combinatorial stages
198,9 → 201,10
signal sh_next : std_logic_vector (N-1 downto 0);
signal sh_reg : std_logic_vector (N-1 downto 0);
-- mosi and miso connections
signal rx_bit_next : std_logic;
signal rx_bit_next : std_logic; -- sample of MOSI input
signal tx_bit_next : std_logic;
signal tx_bit_reg : std_logic;
signal tx_bit_reg : std_logic; -- drives MISO during sequential logic
signal preload_miso : std_logic; -- controls the MISO MUX
-- buffered di_i data signals for register and combinatorial stages
signal di_reg : std_logic_vector (N-1 downto 0);
-- internal wren_i stretcher for fsm combinatorial stage
308,12 → 312,14
core_reg_proc : process (spi_sck_i, spi_ssel_i) is
begin
-- FFD registers clocked on SHIFT edge and cleared on idle (spi_ssel_i = 1)
-- state fsm register (fdr)
if spi_ssel_i = '1' then -- async clr
state_reg <= 0; -- state falls back to idle when slave not selected
elsif spi_sck_i'event and spi_sck_i = SHIFT_EDGE then -- on SHIFT edge, update all core registers
elsif spi_sck_i'event and spi_sck_i = SHIFT_EDGE then -- on SHIFT edge, update state register
state_reg <= state_next; -- core fsm changes state with spi SHIFT clock
end if;
-- FFD registers clocked on SHIFT edge
-- rtl core registers (fd)
if spi_sck_i'event and spi_sck_i = SHIFT_EDGE then -- on fsm state change, update all core registers
sh_reg <= sh_next; -- core shift register
do_buffer_reg <= do_buffer_next; -- registered data output
321,7 → 327,15
di_req_reg <= di_req_next; -- input data request
wr_ack_reg <= wr_ack_next; -- wren ack for data load synchronization
end if;
-- FFD registers clocked on CHANGE edge and cleared on idle (spi_ssel_i = 1)
-- miso MUX preload control register (fdp)
if spi_ssel_i = '1' then -- async preset
preload_miso <= '1'; -- miso MUX sees top bit of parallel input when slave not selected
elsif spi_sck_i'event and spi_sck_i = CHANGE_EDGE then -- on CHANGE edge, change to tx_reg output
preload_miso <= spi_ssel_i; -- miso MUX sees tx_bit_reg when it is driven by SCK
end if;
-- FFD registers clocked on CHANGE edge
-- tx_bit register (fd)
if spi_sck_i'event and spi_sck_i = CHANGE_EDGE then
tx_bit_reg <= tx_bit_next; -- update MISO driver from the MSb
end if;
418,16 → 432,16
wr_ack_o_proc: wr_ack_o <= wr_ack_reg; -- copy registered wr_ack_o to output
 
-----------------------------------------------------------------------------------------------
-- MISO driver process: copy next tx bit at reset
-- MISO driver process: preload top bit of parallel data to MOSI at reset
-----------------------------------------------------------------------------------------------
-- this is a MUX that selects the combinatorial next tx bit at reset, and the registered tx bit
-- at sequential operation. The mux gives us a preload of the first bit, simplifying the shifter logic.
spi_miso_o_proc: process (spi_ssel_i, tx_bit_reg, tx_bit_next) is
spi_miso_o_proc: process (preload_miso, tx_bit_reg, di_reg) is
begin
if spi_ssel_i = '1' then
spi_miso_o <= tx_bit_next; -- copy next => reg at reset
if preload_miso = '1' then
spi_miso_o <= di_reg(N-1); -- copy top bit of parallel data at reset
else
spi_miso_o <= tx_bit_reg;
spi_miso_o <= tx_bit_reg; -- copy top bit of shifter at sequential operation
end if;
end process spi_miso_o_proc;
 
/spi_master_slave/trunk/rtl/spi_master.vhd
166,6 → 166,8
-- circuitry.
-- The same is valid for the transmit and receive ports. If the receive ports are not mapped, the
-- synthesis tool will remove the receive logic from the generated circuitry.
-- Alternatively, you can remove these ports and related circuitry once the core is verified and
-- integrated to your circuit.
--================================================================================================================
 
entity spi_master is
211,7 → 213,7
-- all signals are clocked at the rising edge of the system clock 'sclk_i'.
--================================================================================================================
architecture rtl of spi_master is
-- core clocks, generated from 'sclk_i': initialized to differential values
-- core clocks, generated from 'sclk_i': initialized at GSR to differential values
signal core_clk : std_logic := '0'; -- continuous core clock, positive logic
signal core_n_clk : std_logic := '1'; -- continuous core clock, negative logic
signal core_ce : std_logic := '0'; -- core clock enable, positive logic
304,7 → 306,7
-- In order to preserve global clocking resources, the core clocking scheme is completely based
-- on using clock enables to process the serial high-speed clock at lower rates for the core fsm,
-- the spi clock generator and the input sampling clock.
-- The clock generation block derive 2 continuous antiphase signals from the 2x spi base clock
-- The clock generation block derives 2 continuous antiphase signals from the 2x spi base clock
-- for the core clocking.
-- The 2 clock phases are generated by separate and synchronous FFs, and should have only
-- differential interconnect delay skew.
/spi_master_slave/trunk/syn/spi_master_atlys_top_bitgen.xwbt
1,8 → 1,8
INTSTYLE=ise
INFILE=C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_master_atlys_top.ncd
OUTFILE=C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_master_atlys_top.bit
INFILE=C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_master_atlys_top.ncd
OUTFILE=C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_master_atlys_top.bit
FAMILY=Spartan6
PART=xc6slx45-2csg324
WORKINGDIR=C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn
WORKINGDIR=C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn
LICENSE=WebPack
USER_INFO=205970357_0_0_751
/spi_master_slave/trunk/syn/spi_master_atlys_top.twr
36,20 → 36,20
|Max Setup to| Process |Max Hold to | Process | | Clock |
Source | clk (edge) | Corner | clk (edge) | Corner |Internal Clock(s) | Phase |
------------+------------+------------+------------+------------+------------------+--------+
btn_i<0> | 3.278(R)| SLOW | -1.955(R)| FAST |gclk_i_BUFGP | 0.000|
btn_i<1> | 2.687(R)| SLOW | -1.446(R)| FAST |gclk_i_BUFGP | 0.000|
btn_i<2> | 2.472(R)| SLOW | -1.378(R)| FAST |gclk_i_BUFGP | 0.000|
btn_i<3> | 2.485(R)| SLOW | -1.363(R)| FAST |gclk_i_BUFGP | 0.000|
btn_i<4> | 3.029(R)| SLOW | -1.604(R)| FAST |gclk_i_BUFGP | 0.000|
btn_i<5> | 2.674(R)| SLOW | -1.430(R)| SLOW |gclk_i_BUFGP | 0.000|
sw_i<0> | 4.100(R)| SLOW | -2.174(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<1> | 5.263(R)| SLOW | -2.981(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<2> | 5.340(R)| SLOW | -2.970(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<3> | 4.839(R)| SLOW | -2.685(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<4> | 3.689(R)| SLOW | -2.001(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<5> | 4.210(R)| SLOW | -2.310(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<6> | 4.396(R)| SLOW | -2.450(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<7> | 4.807(R)| SLOW | -2.644(R)| FAST |gclk_i_BUFGP | 0.000|
btn_i<0> | 3.220(R)| SLOW | -1.908(R)| FAST |gclk_i_BUFGP | 0.000|
btn_i<1> | 2.732(R)| SLOW | -1.473(R)| FAST |gclk_i_BUFGP | 0.000|
btn_i<2> | 2.624(R)| SLOW | -1.423(R)| FAST |gclk_i_BUFGP | 0.000|
btn_i<3> | 2.466(R)| SLOW | -1.367(R)| SLOW |gclk_i_BUFGP | 0.000|
btn_i<4> | 2.808(R)| SLOW | -1.482(R)| FAST |gclk_i_BUFGP | 0.000|
btn_i<5> | 2.631(R)| SLOW | -1.435(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<0> | 4.138(R)| SLOW | -2.205(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<1> | 5.757(R)| SLOW | -3.265(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<2> | 5.825(R)| SLOW | -3.246(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<3> | 4.946(R)| SLOW | -2.785(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<4> | 3.431(R)| SLOW | -1.904(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<5> | 3.569(R)| SLOW | -2.000(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<6> | 3.411(R)| SLOW | -1.943(R)| FAST |gclk_i_BUFGP | 0.000|
sw_i<7> | 5.265(R)| SLOW | -2.971(R)| FAST |gclk_i_BUFGP | 0.000|
------------+------------+------------+------------+------------+------------------+--------+
 
Clock gclk_i to Pad
57,37 → 57,37
|Max (slowest) clk| Process |Min (fastest) clk| Process | | Clock |
Destination | (edge) to PAD | Corner | (edge) to PAD | Corner |Internal Clock(s) | Phase |
------------+-----------------+------------+-----------------+------------+------------------+--------+
dbg_o<4> | 10.189(R)| SLOW | 4.245(R)| FAST |gclk_i_BUFGP | 0.000|
dbg_o<5> | 10.661(R)| SLOW | 4.525(R)| FAST |gclk_i_BUFGP | 0.000|
dbg_o<7> | 10.584(R)| SLOW | 4.515(R)| FAST |gclk_i_BUFGP | 0.000|
dbg_o<8> | 10.546(R)| SLOW | 4.517(R)| FAST |gclk_i_BUFGP | 0.000|
dbg_o<9> | 10.444(R)| SLOW | 4.424(R)| FAST |gclk_i_BUFGP | 0.000|
dbg_o<10> | 11.747(R)| SLOW | 5.263(R)| FAST |gclk_i_BUFGP | 0.000|
dbg_o<11> | 10.850(R)| SLOW | 4.663(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<0> | 9.978(R)| SLOW | 4.140(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<1> | 9.999(R)| SLOW | 4.146(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<2> | 9.969(R)| SLOW | 4.128(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<3> | 9.554(R)| SLOW | 3.890(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<4> | 10.087(R)| SLOW | 4.246(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<5> | 16.607(R)| SLOW | 8.007(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<6> | 11.948(R)| SLOW | 5.282(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<7> | 11.126(R)| SLOW | 4.792(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<0> | 9.589(R)| SLOW | 3.927(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<1> | 9.834(R)| SLOW | 4.096(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<2> | 9.806(R)| SLOW | 4.063(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<3> | 9.369(R)| SLOW | 3.801(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<4> | 9.464(R)| SLOW | 3.837(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<5> | 9.704(R)| SLOW | 4.008(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<6> | 9.672(R)| SLOW | 3.966(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<7> | 9.539(R)| SLOW | 3.912(R)| FAST |gclk_i_BUFGP | 0.000|
m_state_o<0>| 11.606(R)| SLOW | 5.188(R)| FAST |gclk_i_BUFGP | 0.000|
m_state_o<1>| 11.499(R)| SLOW | 5.055(R)| FAST |gclk_i_BUFGP | 0.000|
m_state_o<2>| 11.467(R)| SLOW | 5.046(R)| FAST |gclk_i_BUFGP | 0.000|
m_state_o<3>| 11.607(R)| SLOW | 5.144(R)| FAST |gclk_i_BUFGP | 0.000|
spi_miso_o | 13.517(R)| SLOW | 5.528(R)| FAST |gclk_i_BUFGP | 0.000|
spi_mosi_o | 13.405(R)| SLOW | 5.552(R)| FAST |gclk_i_BUFGP | 0.000|
spi_sck_o | 11.665(R)| SLOW | 5.240(R)| FAST |gclk_i_BUFGP | 0.000|
spi_ssel_o | 12.854(R)| SLOW | 5.876(R)| FAST |gclk_i_BUFGP | 0.000|
dbg_o<4> | 9.886(R)| SLOW | 4.102(R)| FAST |gclk_i_BUFGP | 0.000|
dbg_o<5> | 9.856(R)| SLOW | 4.079(R)| FAST |gclk_i_BUFGP | 0.000|
dbg_o<7> | 10.279(R)| SLOW | 4.343(R)| FAST |gclk_i_BUFGP | 0.000|
dbg_o<8> | 10.485(R)| SLOW | 4.438(R)| FAST |gclk_i_BUFGP | 0.000|
dbg_o<9> | 10.661(R)| SLOW | 4.583(R)| FAST |gclk_i_BUFGP | 0.000|
dbg_o<10> | 10.595(R)| SLOW | 4.516(R)| FAST |gclk_i_BUFGP | 0.000|
dbg_o<11> | 10.797(R)| SLOW | 4.632(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<0> | 10.127(R)| SLOW | 4.227(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<1> | 9.955(R)| SLOW | 4.135(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<2> | 10.096(R)| SLOW | 4.211(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<3> | 9.531(R)| SLOW | 3.887(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<4> | 10.129(R)| SLOW | 4.244(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<5> | 16.930(R)| SLOW | 8.194(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<6> | 12.027(R)| SLOW | 5.407(R)| FAST |gclk_i_BUFGP | 0.000|
led_o<7> | 11.196(R)| SLOW | 4.818(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<0> | 9.636(R)| SLOW | 3.930(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<1> | 9.683(R)| SLOW | 3.987(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<2> | 9.651(R)| SLOW | 3.945(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<3> | 9.718(R)| SLOW | 4.091(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<4> | 9.623(R)| SLOW | 4.055(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<5> | 9.875(R)| SLOW | 4.135(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<6> | 9.742(R)| SLOW | 4.088(R)| FAST |gclk_i_BUFGP | 0.000|
m_do_o<7> | 9.568(R)| SLOW | 4.000(R)| FAST |gclk_i_BUFGP | 0.000|
m_state_o<0>| 11.544(R)| SLOW | 5.167(R)| FAST |gclk_i_BUFGP | 0.000|
m_state_o<1>| 11.702(R)| SLOW | 5.283(R)| FAST |gclk_i_BUFGP | 0.000|
m_state_o<2>| 11.667(R)| SLOW | 5.272(R)| FAST |gclk_i_BUFGP | 0.000|
m_state_o<3>| 11.707(R)| SLOW | 5.314(R)| FAST |gclk_i_BUFGP | 0.000|
spi_miso_o | 11.814(R)| SLOW | 5.115(R)| FAST |gclk_i_BUFGP | 0.000|
spi_mosi_o | 13.768(R)| SLOW | 5.317(R)| FAST |gclk_i_BUFGP | 0.000|
spi_sck_o | 11.645(R)| SLOW | 5.148(R)| FAST |gclk_i_BUFGP | 0.000|
spi_ssel_o | 12.580(R)| SLOW | 5.649(R)| FAST |gclk_i_BUFGP | 0.000|
------------+-----------------+------------+-----------------+------------+------------------+--------+
 
Clock to Setup on destination clock gclk_i
95,11 → 95,11
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
gclk_i | 5.299| | | |
gclk_i | 4.888| | | |
---------------+---------+---------+---------+---------+
 
 
Analysis completed Wed Aug 10 22:57:08 2011
Analysis completed Mon Aug 29 00:08:54 2011
--------------------------------------------------------------------------------
 
Trace Settings:
106,7 → 106,7
-------------------------
Trace Settings
 
Peak Memory Usage: 180 MB
Peak Memory Usage: 177 MB
 
 
 
/spi_master_slave/trunk/syn/spi_master_atlys_top.syr
3,14 → 3,14
--> Parameter TMPDIR set to xst/projnav.tmp
 
 
Total REAL time to Xst completion: 1.00 secs
Total CPU time to Xst completion: 0.09 secs
Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.08 secs
--> Parameter xsthdpdir set to xst
 
 
Total REAL time to Xst completion: 1.00 secs
Total CPU time to Xst completion: 0.09 secs
Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.08 secs
--> Reading design: spi_master_atlys_top.prj
 
104,31 → 104,31
=========================================================================
* HDL Parsing *
=========================================================================
Parsing VHDL file "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_slave.vhd" into library work
Parsing VHDL file "C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_slave.vhd" into library work
Parsing entity <spi_slave>.
Parsing architecture <rtl> of entity <spi_slave>.
WARNING:HDLCompiler:957 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_slave.vhd" Line 347: Case choice must be a locally static expression
WARNING:HDLCompiler:957 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_slave.vhd" Line 355: Case choice must be a locally static expression
WARNING:HDLCompiler:957 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_slave.vhd" Line 364: Case choice must be a locally static expression
Parsing VHDL file "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_master.vhd" into library work
WARNING:HDLCompiler:957 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_slave.vhd" Line 361: Case choice must be a locally static expression
WARNING:HDLCompiler:957 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_slave.vhd" Line 369: Case choice must be a locally static expression
WARNING:HDLCompiler:957 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_slave.vhd" Line 378: Case choice must be a locally static expression
Parsing VHDL file "C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_master.vhd" into library work
Parsing entity <spi_master>.
Parsing architecture <rtl> of entity <spi_master>.
WARNING:HDLCompiler:957 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_master.vhd" Line 503: Case choice must be a locally static expression
WARNING:HDLCompiler:957 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_master.vhd" Line 511: Case choice must be a locally static expression
WARNING:HDLCompiler:957 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_master.vhd" Line 519: Case choice must be a locally static expression
WARNING:HDLCompiler:957 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_master.vhd" Line 528: Case choice must be a locally static expression
Parsing VHDL file "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\grp_debouncer.vhd" into library work
WARNING:HDLCompiler:957 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_master.vhd" Line 505: Case choice must be a locally static expression
WARNING:HDLCompiler:957 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_master.vhd" Line 513: Case choice must be a locally static expression
WARNING:HDLCompiler:957 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_master.vhd" Line 521: Case choice must be a locally static expression
WARNING:HDLCompiler:957 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_master.vhd" Line 530: Case choice must be a locally static expression
Parsing VHDL file "C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\grp_debouncer.vhd" into library work
Parsing entity <grp_debouncer>.
Parsing architecture <rtl> of entity <grp_debouncer>.
Parsing VHDL file "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_master_atlys_top.vhd" into library work
Parsing VHDL file "C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_master_atlys_top.vhd" into library work
Parsing entity <spi_master_atlys_top>.
Parsing architecture <behavioral> of entity <spi_master_atlys_top>.
Parsing architecture <rtl> of entity <spi_master_atlys_top>.
 
=========================================================================
* HDL Elaboration *
=========================================================================
 
Elaborating entity <spi_master_atlys_top> (architecture <behavioral>) from library <work>.
Elaborating entity <spi_master_atlys_top> (architecture <rtl>) with generics from library <work>.
 
Elaborating entity <spi_master> (architecture <rtl>) with generics from library <work>.
 
137,10 → 137,9
Elaborating entity <grp_debouncer> (architecture <rtl>) with generics from library <work>.
 
Elaborating entity <grp_debouncer> (architecture <rtl>) with generics from library <work>.
INFO:HDLCompiler:679 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_master_atlys_top.vhd" Line 456. Case statement is complete. others clause is never selected
INFO:HDLCompiler:679 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_master_atlys_top.vhd" Line 517. Case statement is complete. others clause is never selected
INFO:HDLCompiler:679 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_master_atlys_top.vhd" Line 567. Case statement is complete. others clause is never selected
WARNING:HDLCompiler:634 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spi_master_slave\spi_master_slave\trunk\syn\spi_master_atlys_top.vhd" Line 174: Net <dbg[3]> does not have a driver.
INFO:HDLCompiler:679 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_master_atlys_top.vhd" Line 459. Case statement is complete. others clause is never selected
INFO:HDLCompiler:679 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_master_atlys_top.vhd" Line 521. Case statement is complete. others clause is never selected
INFO:HDLCompiler:679 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\spimasterslave\spi_master_slave\trunk\syn\spi_master_atlys_top.vhd" Line 571. Case statement is complete. others clause is never selected
 
=========================================================================
* HDL Synthesis *
147,24 → 146,27
=========================================================================
 
Synthesizing Unit <spi_master_atlys_top>.
Related source file is "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd".
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 181: Output port <sh_reg_dbg_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 181: Output port <sck_ena_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 181: Output port <sck_ena_ce_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 181: Output port <do_transfer_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 181: Output port <wren_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 181: Output port <rx_bit_reg_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 181: Output port <core_clk_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 181: Output port <core_n_clk_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 181: Output port <core_ce_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 181: Output port <core_n_ce_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 202: Output port <sh_reg_dbg_o> of the instance <Inst_spi_slave_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 202: Output port <do_transfer_o> of the instance <Inst_spi_slave_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 202: Output port <wren_o> of the instance <Inst_spi_slave_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 202: Output port <rx_bit_next_o> of the instance <Inst_spi_slave_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 221: Output port <strb_o> of the instance <Inst_sw_debouncer> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 230: Output port <strb_o> of the instance <Inst_btn_debouncer> is unconnected or connected to loadless signal.
WARNING:Xst:2935 - Signal 'dbg<3:0>', unconnected in block 'spi_master_atlys_top', is tied to its initial value (0000).
Related source file is "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd".
N = 8
CPOL = '0'
CPHA = '0'
PREFETCH = 3
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 184: Output port <sh_reg_dbg_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 184: Output port <sck_ena_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 184: Output port <sck_ena_ce_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 184: Output port <do_transfer_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 184: Output port <wren_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 184: Output port <rx_bit_reg_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 184: Output port <core_clk_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 184: Output port <core_n_clk_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 184: Output port <core_ce_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 184: Output port <core_n_ce_o> of the instance <Inst_spi_master_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 205: Output port <sh_reg_dbg_o> of the instance <Inst_spi_slave_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 205: Output port <do_transfer_o> of the instance <Inst_spi_slave_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 205: Output port <wren_o> of the instance <Inst_spi_slave_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 205: Output port <rx_bit_next_o> of the instance <Inst_spi_slave_port> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 224: Output port <strb_o> of the instance <Inst_sw_debouncer> is unconnected or connected to loadless signal.
INFO:Xst:3210 - "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd" line 233: Output port <strb_o> of the instance <Inst_btn_debouncer> is unconnected or connected to loadless signal.
Found 1-bit register for signal <samp_ce_gen_proc.clk_cnt>.
Found 1-bit register for signal <fsm_ce>.
Found 1-bit register for signal <fsm_ce_gen_proc.clk_cnt>.
228,10 → 230,10
| Encoding | Gray |
| Implementation | LUT |
-----------------------------------------------------------------------
Found 1-bit adder for signal <samp_ce_gen_proc.clk_cnt[0]_PWR_4_o_add_1_OUT<0>> created at line 273.
Found 1-bit adder for signal <fsm_ce_gen_proc.clk_cnt[0]_PWR_4_o_add_3_OUT<0>> created at line 287.
Found 8-bit comparator equal for signal <_n0380> created at line 359
Found 6-bit comparator equal for signal <_n0400> created at line 362
Found 1-bit adder for signal <samp_ce_gen_proc.clk_cnt[0]_PWR_4_o_add_1_OUT<0>> created at line 276.
Found 1-bit adder for signal <fsm_ce_gen_proc.clk_cnt[0]_PWR_4_o_add_3_OUT<0>> created at line 290.
Found 8-bit comparator equal for signal <_n0380> created at line 362
Found 6-bit comparator equal for signal <_n0400> created at line 365
Summary:
inferred 2 Adder/Subtractor(s).
inferred 71 D-type flip-flop(s).
241,7 → 243,7
Unit <spi_master_atlys_top> synthesized.
 
Synthesizing Unit <spi_master>.
Related source file is "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_master.vhd".
Related source file is "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_master.vhd".
N = 8
CPOL = '0'
CPHA = '0'
275,12 → 277,12
Found 1-bit register for signal <sck_ena_reg>.
Found 1-bit register for signal <spi_clk_reg>.
Found 1-bit register for signal <spi_2x_ce>.
Found 1-bit adder for signal <spi_2x_ce_gen_proc.clk_cnt[0]_PWR_7_o_add_1_OUT<0>> created at line 328.
Found 4-bit subtractor for signal <GND_7_o_GND_7_o_sub_12_OUT<3:0>> created at line 526.
Found 4-bit comparator greater for signal <state_reg[3]_GND_7_o_LessThan_20_o> created at line 519
Found 4-bit comparator greater for signal <GND_7_o_state_reg[3]_LessThan_21_o> created at line 519
Found 4-bit comparator greater for signal <state_reg[3]_GND_7_o_LessThan_22_o> created at line 528
Found 4-bit comparator greater for signal <GND_7_o_state_reg[3]_LessThan_23_o> created at line 528
Found 1-bit adder for signal <spi_2x_ce_gen_proc.clk_cnt[0]_PWR_7_o_add_1_OUT<0>> created at line 330.
Found 4-bit subtractor for signal <GND_7_o_GND_7_o_sub_12_OUT<3:0>> created at line 528.
Found 4-bit comparator greater for signal <state_reg[3]_GND_7_o_LessThan_20_o> created at line 521
Found 4-bit comparator greater for signal <GND_7_o_state_reg[3]_LessThan_21_o> created at line 521
Found 4-bit comparator greater for signal <state_reg[3]_GND_7_o_LessThan_22_o> created at line 530
Found 4-bit comparator greater for signal <GND_7_o_state_reg[3]_LessThan_23_o> created at line 530
Summary:
inferred 2 Adder/Subtractor(s).
inferred 52 D-type flip-flop(s).
289,11 → 291,12
Unit <spi_master> synthesized.
 
Synthesizing Unit <spi_slave>.
Related source file is "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/spi_slave.vhd".
Related source file is "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/spi_slave.vhd".
N = 8
CPOL = '0'
CPHA = '0'
PREFETCH = 3
Found 1-bit register for signal <preload_miso>.
Found 4-bit register for signal <state_reg>.
Found 1-bit register for signal <do_valid_B>.
Found 1-bit register for signal <do_valid_C>.
313,49 → 316,49
Found 1-bit register for signal <wr_ack_reg>.
Found 1-bit register for signal <tx_bit_reg>.
Found 1-bit register for signal <do_valid_A>.
Found 4-bit subtractor for signal <GND_8_o_GND_8_o_sub_6_OUT<3:0>> created at line 362.
Found 4-bit comparator greater for signal <state_reg[3]_GND_8_o_LessThan_9_o> created at line 355
Found 4-bit comparator greater for signal <GND_8_o_state_reg[3]_LessThan_10_o> created at line 355
Found 4-bit comparator greater for signal <state_reg[3]_GND_8_o_LessThan_11_o> created at line 364
Found 4-bit comparator greater for signal <GND_8_o_state_reg[3]_LessThan_12_o> created at line 364
Found 4-bit subtractor for signal <GND_8_o_GND_8_o_sub_6_OUT<3:0>> created at line 376.
Found 4-bit comparator greater for signal <state_reg[3]_GND_8_o_LessThan_9_o> created at line 369
Found 4-bit comparator greater for signal <GND_8_o_state_reg[3]_LessThan_10_o> created at line 369
Found 4-bit comparator greater for signal <state_reg[3]_GND_8_o_LessThan_11_o> created at line 378
Found 4-bit comparator greater for signal <GND_8_o_state_reg[3]_LessThan_12_o> created at line 378
Summary:
inferred 1 Adder/Subtractor(s).
inferred 43 D-type flip-flop(s).
inferred 44 D-type flip-flop(s).
inferred 4 Comparator(s).
inferred 22 Multiplexer(s).
Unit <spi_slave> synthesized.
 
Synthesizing Unit <grp_debouncer_1>.
Related source file is "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/grp_debouncer.vhd".
Related source file is "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/grp_debouncer.vhd".
N = 8
CNT_VAL = 200
CNT_VAL = 20000
Found 8-bit register for signal <reg_A>.
Found 8-bit register for signal <reg_B>.
Found 8-bit register for signal <reg_out>.
Found 8-bit register for signal <cnt_reg>.
Found 9-bit adder for signal <n0024> created at line 162.
Found 15-bit register for signal <cnt_reg>.
Found 16-bit adder for signal <n0024> created at line 162.
Found 8-bit comparator not equal for signal <n0008> created at line 184
Found 8-bit comparator not equal for signal <n0010> created at line 190
Summary:
inferred 1 Adder/Subtractor(s).
inferred 32 D-type flip-flop(s).
inferred 39 D-type flip-flop(s).
inferred 2 Comparator(s).
Unit <grp_debouncer_1> synthesized.
 
Synthesizing Unit <grp_debouncer_2>.
Related source file is "c:/dropbox/dropbox/vhdl_training/opencores/spi_master_slave/spi_master_slave/trunk/syn/grp_debouncer.vhd".
Related source file is "c:/dropbox/dropbox/vhdl_training/opencores/spimasterslave/spi_master_slave/trunk/syn/grp_debouncer.vhd".
N = 6
CNT_VAL = 200
CNT_VAL = 20000
Found 6-bit register for signal <reg_A>.
Found 6-bit register for signal <reg_B>.
Found 6-bit register for signal <reg_out>.
Found 8-bit register for signal <cnt_reg>.
Found 9-bit adder for signal <n0024> created at line 162.
Found 15-bit register for signal <cnt_reg>.
Found 16-bit adder for signal <n0024> created at line 162.
Found 6-bit comparator not equal for signal <n0008> created at line 184
Found 6-bit comparator not equal for signal <n0010> created at line 190
Summary:
inferred 1 Adder/Subtractor(s).
inferred 26 D-type flip-flop(s).
inferred 33 D-type flip-flop(s).
inferred 2 Comparator(s).
Unit <grp_debouncer_2> synthesized.
 
365,13 → 368,14
Macro Statistics
# Adders/Subtractors : 7
1-bit adder : 3
16-bit adder : 2
4-bit subtractor : 2
9-bit adder : 2
# Registers : 72
1-bit register : 48
# Registers : 73
1-bit register : 49
15-bit register : 2
4-bit register : 2
6-bit register : 4
8-bit register : 18
8-bit register : 16
# Comparators : 14
4-bit comparator greater : 8
6-bit comparator equal : 1
422,9 → 426,9
4-bit subtractor : 2
# Counters : 5
1-bit up counter : 3
8-bit up counter : 2
# Registers : 205
Flip-Flops : 205
15-bit up counter : 2
# Registers : 206
Flip-Flops : 206
# Comparators : 14
4-bit comparator greater : 8
6-bit comparator equal : 1
431,8 → 435,8
6-bit comparator not equal : 2
8-bit comparator equal : 1
8-bit comparator not equal : 2
# Multiplexers : 46
1-bit 2-to-1 multiplexer : 20
# Multiplexers : 47
1-bit 2-to-1 multiplexer : 21
4-bit 2-to-1 multiplexer : 12
8-bit 2-to-1 multiplexer : 14
# FSMs : 3
494,9 → 498,8
You should achieve better results by setting this init to 1.
WARNING:Xst:1426 - The value init of the FF/Latch spi_di_reg_s_0 hinder the constant cleaning in the block spi_master_atlys_top.
You should achieve better results by setting this init to 1.
WARNING:Xst:1426 - The value init of the FF/Latch spi_di_reg_s_7 hinder the constant cleaning in the block spi_master_atlys_top.
You should achieve better results by setting this init to 1.
INFO:Xst:2261 - The FF/Latch <spi_di_reg_s_0> in Unit <spi_master_atlys_top> is equivalent to the following 3 FFs/Latches, which will be removed : <spi_di_reg_s_4> <spi_di_reg_s_6> <spi_di_reg_s_7>
INFO:Xst:2261 - The FF/Latch <spi_di_reg_s_0> in Unit <spi_master_atlys_top> is equivalent to the following 2 FFs/Latches, which will be removed : <spi_di_reg_s_4> <spi_di_reg_s_6>
INFO:Xst:2261 - The FF/Latch <spi_di_reg_s_1> in Unit <spi_master_atlys_top> is equivalent to the following FF/Latch, which will be removed : <spi_di_reg_s_7>
 
Optimizing unit <spi_master_atlys_top> ...
 
513,7 → 516,8
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch <fsm_ce_gen_proc.clk_cnt_0> has a constant value of 0 in block <spi_master_atlys_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch <Inst_spi_master_port/spi_2x_ce_gen_proc.clk_cnt_0> has a constant value of 0 in block <spi_master_atlys_top>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch <Inst_spi_master_port/spi_2x_ce> has a constant value of 1 in block <spi_master_atlys_top>. This FF/Latch will be trimmed during the optimization process.
INFO:Xst:2261 - The FF/Latch <Inst_spi_slave_port/di_reg_7> in Unit <spi_master_atlys_top> is equivalent to the following 3 FFs/Latches, which will be removed : <Inst_spi_slave_port/di_reg_6> <Inst_spi_slave_port/di_reg_4> <Inst_spi_slave_port/di_reg_0>
INFO:Xst:2261 - The FF/Latch <Inst_spi_slave_port/di_reg_6> in Unit <spi_master_atlys_top> is equivalent to the following 2 FFs/Latches, which will be removed : <Inst_spi_slave_port/di_reg_4> <Inst_spi_slave_port/di_reg_0>
INFO:Xst:2261 - The FF/Latch <Inst_spi_slave_port/di_reg_7> in Unit <spi_master_atlys_top> is equivalent to the following FF/Latch, which will be removed : <Inst_spi_slave_port/di_reg_1>
INFO:Xst:2261 - The FF/Latch <Inst_spi_master_port/core_clk> in Unit <spi_master_atlys_top> is equivalent to the following FF/Latch, which will be removed : <Inst_spi_master_port/core_ce>
INFO:Xst:3203 - The FF/Latch <Inst_spi_master_port/core_clk> in Unit <spi_master_atlys_top> is the opposite to the following 2 FFs/Latches, which will be removed : <Inst_spi_master_port/core_n_clk> <Inst_spi_master_port/core_n_ce>
 
530,8 → 534,8
Final Register Report
 
Macro Statistics
# Registers : 217
Flip-Flops : 217
# Registers : 232
Flip-Flops : 232
 
=========================================================================
 
554,24 → 558,25
 
Primitive and Black Box Usage:
------------------------------
# BELS : 205
# BELS : 259
# GND : 1
# INV : 4
# LUT1 : 14
# LUT2 : 4
# LUT3 : 28
# LUT1 : 28
# LUT2 : 3
# LUT3 : 26
# LUT4 : 17
# LUT5 : 55
# LUT6 : 47
# MUXCY : 14
# LUT5 : 62
# LUT6 : 55
# MUXCY : 28
# MUXF7 : 4
# VCC : 1
# XORCY : 16
# FlipFlops/Latches : 217
# FD : 83
# XORCY : 30
# FlipFlops/Latches : 232
# FD : 97
# FD_1 : 1
# FDC : 8
# FDE : 111
# FDP_1 : 1
# FDR : 10
# FDRE : 4
# Clock Buffers : 2
588,15 → 593,15
 
 
Slice Logic Utilization:
Number of Slice Registers: 217 out of 54576 0%
Number of Slice LUTs: 169 out of 27288 0%
Number used as Logic: 169 out of 27288 0%
Number of Slice Registers: 232 out of 54576 0%
Number of Slice LUTs: 195 out of 27288 0%
Number used as Logic: 195 out of 27288 0%
 
Slice Logic Distribution:
Number of LUT Flip Flop pairs used: 274
Number with an unused Flip Flop: 57 out of 274 20%
Number with an unused LUT: 105 out of 274 38%
Number of fully used LUT-FF pairs: 112 out of 274 40%
Number of LUT Flip Flop pairs used: 301
Number with an unused Flip Flop: 69 out of 301 22%
Number with an unused LUT: 106 out of 301 35%
Number of fully used LUT-FF pairs: 126 out of 301 41%
Number of unique control sets: 23
 
IO Utilization:
627,8 → 632,8
-----------------------------------+------------------------+-------+
Clock Signal | Clock buffer(FF name) | Load |
-----------------------------------+------------------------+-------+
gclk_i | BUFGP | 189 |
Inst_spi_master_port/spi_clk_reg | BUFG | 28 |
gclk_i | BUFGP | 203 |
Inst_spi_master_port/spi_clk_reg | BUFG | 29 |
-----------------------------------+------------------------+-------+
 
Asynchronous Control Signals Information:
639,9 → 644,9
---------------
Speed Grade: -2
 
Minimum period: 5.283ns (Maximum Frequency: 189.286MHz)
Minimum period: 5.267ns (Maximum Frequency: 189.861MHz)
Minimum input arrival time before clock: 2.083ns
Maximum output required time after clock: 7.830ns
Maximum output required time after clock: 7.216ns
Maximum combinational path delay: No path found
 
Timing Details:
650,28 → 655,28
 
=========================================================================
Timing constraint: Default period analysis for Clock 'gclk_i'
Clock period: 5.283ns (frequency: 189.286MHz)
Total number of paths / destination ports: 1727 / 266
Clock period: 5.267ns (frequency: 189.861MHz)
Total number of paths / destination ports: 2605 / 280
-------------------------------------------------------------------------
Delay: 5.283ns (Levels of Logic = 4)
Delay: 5.267ns (Levels of Logic = 4)
Source: sw_reg_5 (FF)
Destination: btn_reg_0 (FF)
Destination: m_wr_st_reg_FSM_FFd4 (FF)
Source Clock: gclk_i rising
Destination Clock: gclk_i rising
 
Data Path: sw_reg_5 to btn_reg_0
Data Path: sw_reg_5 to m_wr_st_reg_FSM_FFd4
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDE:C->Q 3 0.525 1.196 sw_reg_5 (sw_reg_5)
LUT6:I1->O 2 0.254 0.834 _n038082 (_n038081)
LUT6:I4->O 3 0.250 0.766 _n038083 (_n0380)
LUT5:I4->O 6 0.254 0.876 _n0418_inv1_rstpot (_n0418_inv1_rstpot)
LUT3:I2->O 1 0.254 0.000 btn_reg_0_dpot (btn_reg_0_dpot)
FDE:D 0.074 btn_reg_0
LUT6:I4->O 8 0.250 0.944 _n038083 (_n0380)
LUT5:I4->O 1 0.254 0.682 m_wr_st_reg_FSM_FFd2-In1 (m_wr_st_reg_FSM_FFd2-In1)
LUT6:I5->O 1 0.254 0.000 m_wr_st_reg_FSM_FFd2-In2 (m_wr_st_reg_FSM_FFd2-In)
FDR:D 0.074 m_wr_st_reg_FSM_FFd2
----------------------------------------
Total 5.283ns (1.611ns logic, 3.672ns route)
(30.5% logic, 69.5% route)
Total 5.267ns (1.611ns logic, 3.656ns route)
(30.6% logic, 69.4% route)
 
=========================================================================
Timing constraint: Default period analysis for Clock 'Inst_spi_master_port/spi_clk_reg'
689,7 → 694,7
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDC:C->Q 2 0.525 1.156 Inst_spi_slave_port/state_reg_1_1 (Inst_spi_slave_port/state_reg_1_1)
LUT6:I1->O 1 0.254 0.000 Inst_spi_slave_port/tx_bit_next3_F (N14)
LUT6:I1->O 1 0.254 0.000 Inst_spi_slave_port/tx_bit_next3_F (N10)
MUXF7:I0->O 1 0.163 0.000 Inst_spi_slave_port/tx_bit_next3 (Inst_spi_slave_port/tx_bit_next)
FD_1:D 0.074 Inst_spi_slave_port/tx_bit_reg
----------------------------------------
717,47 → 722,44
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'gclk_i'
Total number of paths / destination ports: 41 / 31
Total number of paths / destination ports: 37 / 31
-------------------------------------------------------------------------
Offset: 7.663ns (Levels of Logic = 4)
Source: Inst_spi_master_port/ssel_ena_reg (FF)
Destination: spi_miso_o (PAD)
Offset: 7.216ns (Levels of Logic = 3)
Source: Inst_spi_master_port/state_reg_2 (FF)
Destination: spi_mosi_o (PAD)
Source Clock: gclk_i rising
 
Data Path: Inst_spi_master_port/ssel_ena_reg to spi_miso_o
Data Path: Inst_spi_master_port/state_reg_2 to spi_mosi_o
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDE:C->Q 5 0.525 1.271 Inst_spi_master_port/ssel_ena_reg (Inst_spi_master_port/ssel_ena_reg)
LUT5:I0->O 1 0.254 0.682 Inst_spi_slave_port/spi_miso_o2 (Inst_spi_slave_port/spi_miso_o1)
LUT6:I5->O 2 0.254 0.834 Inst_spi_slave_port/spi_miso_o3 (Inst_spi_slave_port/spi_miso_o2)
LUT3:I1->O 1 0.250 0.681 Inst_spi_slave_port/spi_miso_o4 (spi_miso_o_OBUF)
OBUF:I->O 2.912 spi_miso_o_OBUF (spi_miso_o)
FDRE:C->Q 20 0.525 1.394 Inst_spi_master_port/state_reg_2 (Inst_spi_master_port/state_reg_2)
LUT2:I0->O 2 0.250 1.156 Inst_spi_master_port/spi_mosi_o_SW0 (N0)
LUT6:I1->O 2 0.254 0.725 Inst_spi_master_port/spi_mosi_o (spi_mosi_o_OBUF)
OBUF:I->O 2.912 spi_mosi_o_OBUF (spi_mosi_o)
----------------------------------------
Total 7.663ns (4.195ns logic, 3.468ns route)
(54.7% logic, 45.3% route)
Total 7.216ns (3.941ns logic, 3.275ns route)
(54.6% logic, 45.4% route)
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'Inst_spi_master_port/spi_clk_reg'
Total number of paths / destination ports: 25 / 14
Total number of paths / destination ports: 19 / 18
-------------------------------------------------------------------------
Offset: 7.830ns (Levels of Logic = 4)
Source: Inst_spi_slave_port/state_reg_0 (FF)
Offset: 5.307ns (Levels of Logic = 2)
Source: Inst_spi_slave_port/preload_miso (FF)
Destination: spi_miso_o (PAD)
Source Clock: Inst_spi_master_port/spi_clk_reg rising
Source Clock: Inst_spi_master_port/spi_clk_reg falling
 
Data Path: Inst_spi_slave_port/state_reg_0 to spi_miso_o
Data Path: Inst_spi_slave_port/preload_miso to spi_miso_o
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDC:C->Q 22 0.525 1.442 Inst_spi_slave_port/state_reg_0 (Inst_spi_slave_port/state_reg_0)
LUT5:I3->O 1 0.250 0.682 Inst_spi_slave_port/spi_miso_o2 (Inst_spi_slave_port/spi_miso_o1)
LUT6:I5->O 2 0.254 0.834 Inst_spi_slave_port/spi_miso_o3 (Inst_spi_slave_port/spi_miso_o2)
LUT3:I1->O 1 0.250 0.681 Inst_spi_slave_port/spi_miso_o4 (spi_miso_o_OBUF)
FDP_1:C->Q 2 0.525 0.954 Inst_spi_slave_port/preload_miso (Inst_spi_slave_port/preload_miso)
LUT3:I0->O 1 0.235 0.681 Inst_spi_slave_port/Mmux_spi_miso_o11 (spi_miso_o_OBUF)
OBUF:I->O 2.912 spi_miso_o_OBUF (spi_miso_o)
----------------------------------------
Total 7.830ns (4.191ns logic, 3.639ns route)
(53.5% logic, 46.5% route)
Total 5.307ns (3.672ns logic, 1.635ns route)
(69.2% logic, 30.8% route)
 
=========================================================================
 
769,8 → 771,8
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
--------------------------------+---------+---------+---------+---------+
Inst_spi_master_port/spi_clk_reg| 3.706| | 2.262| |
gclk_i | 4.633| | 2.169| |
Inst_spi_master_port/spi_clk_reg| 3.682| | 2.224| |
gclk_i | 4.633| | 3.198| |
--------------------------------+---------+---------+---------+---------+
 
Clock to Setup on destination clock gclk_i
778,21 → 780,21
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
--------------------------------+---------+---------+---------+---------+
Inst_spi_master_port/spi_clk_reg| 4.416| 3.782| | |
gclk_i | 5.283| | | |
Inst_spi_master_port/spi_clk_reg| 2.078| 1.855| | |
gclk_i | 5.267| | | |
--------------------------------+---------+---------+---------+---------+
 
=========================================================================
 
 
Total REAL time to Xst completion: 8.00 secs
Total CPU time to Xst completion: 7.33 secs
Total REAL time to Xst completion: 6.00 secs
Total CPU time to Xst completion: 6.29 secs
-->
 
Total memory usage is 178696 kilobytes
Total memory usage is 188108 kilobytes
 
Number of errors : 0 ( 0 filtered)
Number of warnings : 29 ( 0 filtered)
Number of infos : 22 ( 0 filtered)
Number of warnings : 26 ( 0 filtered)
Number of infos : 24 ( 0 filtered)
 
/spi_master_slave/trunk/syn/spi_master_atlys_top_guide.ncd
1,3 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6
###3956:XlxV32DM 3fe8 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###5648:XlxV32DM 3fff 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###4796:XlxV32DM 3fff 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###4076:XlxV32DM 3fff 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###4556:XlxV32DM 3fff 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###5000:XlxV32DM 3fff 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###5056:XlxV32DM 3fff 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###4816:XlxV32DM 3fff 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###5200:XlxV32DM 3fff 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###6556:XlxV32DM 3fff 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###1828:XlxV32DM 3fff 70ceNrNWluy2yAM3RIg4eB0HV1Ak9x89q9fd7r38rBjHAOWwMGdzrSOfY4khCQQFG/6qQ0goB5BghDCPt7xpgf9xEt4RmOf72A/4miflNY4hHdonzRaCWC/IGqH0cq+vdh3ToKZpL3rIMjB50G6CHKiMSeQ09gtVItYz+wf+zSgw6PXPVjNF4vf4ADs8yzlC1+sFfaBI2KwImY26c/LLNuYss/9q5wefNhfI355D8X6JVyKHnjjpHBWjtbCo1tsUIUxEqwg6L9n9Zuj9e/EuvIeT+fuA6XT9zaeQp436DqqTgQdfepE0HVGnVCELPxspXi34DO14qN5kqoWOzNu/+6WLSVdR2VL0NEnW4KuM7IFYDw5W94tOCNbSl44ZlXLr6pjl1W1rP/s8TfqJ+9tclGgQHTbX5VtOC8SFEir3yVTr/1VqTdirBhakfuWAn9d6a200WJMeyeWk7Su9k36SJJSK8cKq1iVG3y0nNmTpSzo25WpHR/06MqCDed1ZQrUf6D/0KrF9D+cXLXhM7v9CivO20UE/WdHQaP+A3YQ2PmE5KCej7SCF3QduIInur6PruCJzq/rCn5u/5e2oW8HGLLm87Urr3/oWMHzVpxzajSA7FZBCroOrCBBS78KEvSdV0FKq16vCvJuQ+8KYg7ffYTIhOiManhFzJJtm7l1jMhTrwxKR9HLQ8rKXZ+HqVSmrCVG37P+btKan8WiZXN097rfIdWegq4Da0/ihuejtSdxy9O19px715O2ofcZxPih3cPEszouvvq43NzkYFjrXkg5daOCjAW//6Vh0b/dx2JBrkhiNQmrGXKdz0aStcutOg1L9VjegpStkoHNzW8aq0hY420wpJEZRowZhsdKNgiGDWks3Q+QifM0diXXV1eFtx0OMuTTcmNkzN9Y8EcKuzfGNEeT5WMm+9ZjDPczkpTVM1Y3y33HSoYN0o/NMHw3y9dkW2g5EE5pafExnygLMhaJ/lDk/J6xIxmLRCww/ACMuQ7rriRjeTmliuu6YNiSxioyFhhyebVxPsOlyx+IdiNjbpBcG+dzK948hrMmWvwFLC3+wlohyFhNjKWRIXcsxIdkyJ1vinXYd7/hoy8LXpv5V5Yrk1z39rHLFSzufePn6MvKZrPDhgzbab4XuEvk1HJNFRdXpwh8rmriShb3sakk/MhaKlYdFxr0QoNe1aBXNekVh/tqL4NLNlO4cpVHXK6pqhzLjqamcqiN1Xy2aWBjZeVRmwjhcSWzaj02+2h+ZC779TouMiPkselD+NElmuJDbEbMZ48V8xT3ilxfxz0p19dxD1zHVQ3cugoSnx3wZyk+pahnqya2bGCrJt2qcscWn18d7fNy9SvbTeHWVc74hJGfkZfqqhufmNZx65nrGaLn8nLKXMcdq5i6YHFutPfNyXxNNGNVBXlsbhv4Y4aGWSrtUcsV97LpIrJZ9Pfvb6lv4iqu8P3bvrui+PEH8HZVP34C/roa/LaD/1XYBHsz9Lt77ugulEb7zl0n4TSQ9KFMEqtB240FTtehKd6E0O5az6MWOQ7/tFwJA3kDn2GH0HDyg6ukddUQXAV65SoQ6tu1rNZb6YOqr2Cv1/w1jc49u4vPZ7jamzYlIn6LT89e/bbY4JVLw/h0NH+G3Nht5fiGJvhJG3IjnrPn/pKTPt6KvZiTse//zCgyEUrRGeZnDJes9o/W8YyG/5Yj3ewtEpZLcfeUkzHN/9YyFyUWBZiIqe2x6pDINPOSsFk418xpdveyPD1r3vfORoKdiVF5piL6GGHPx5WzsNIyf1/Fgq8Yem3DP7T0MZo=###2804:XlxV32DM 3fff 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###2800:XlxV32DM 3fff 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###2892:XlxV32DM 3fff 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###2488:XlxV32DM 3fff 9a0eNq9W0uy4yoM3RICYZv0Ot4CcpN42LMepXrvj4+N+RphXF23qtOJpQMcJCGDQC4YY6jwI1GuchFcCKkECMWYFHIWHKWR2P6fS3MrvcTS+MIVZy0nrOyq9VDwgqbFk3PUDheg5aHYjvIS0kqU2tF90d9fKOWCr00bfVuL/lsRJMRSAdIqpcx76xFKktsI3HOun62oNKZ9rlvgFkP3XmMYiVWCeXog6ed6BEa2po+r1UzHNdleYXUsMSvmmUilg7ngTRwupOcQq0h2nhpI0vcIxTHqlCn/qx6/SL9rWTdH8wAz7RFRkaSY/YhC6XfgVzx9UmXm7ZkpzxVr9kcc1n4yU0sTB6yFOyTNRhVpvqlH6hZrpozMefnLj+tKvLGz9ffvb5Cf+cEe4vtbt/kA8fr1R+DPg//6T+DzMa9f3dqzPpc7L42oxGoxtCipxYox+4hlTqIWs6ezSNrgdgpirWdoCRl6Rwwtr6/m8pl5DQQMaY/Qv0u5VH3IjR2yEUOVJ4iYgsJaGDNlJOS2RtXXCLnZDN/sh1GZ3KUdd+bbzp3S3OHGHftE3H3gK2bNXdgX5n2p4Ic2rpRi4ZTFLReL4YhJ0cpZYt/6edCXEKEsu/OZzhrzejufRuJzKq28RM2qRTGqMmLsCS0bh5C2WYg95Bl4CPDYQ6AUQzQ/PgO7ltsECEVJiS/Ld31WnYS0EoVIENl4blXnq6HTdpbnWfoJfWGNfYHRfGH3fjQeWomTcOS2QZwM7a8+9y/STLh1sKOvRYvEYr8pnjAV8hTI7Pddsd9pmxlANeRRGHiU2Of4dXgCx2iKVWWpOIL1e1tKXecKS0ZJnri0iOoSUZb9bMZVXizAS0iXjBQSDKguHkBISmFPlczLhaP2HVArY2pVTG3e3FW7DhCKsp9ilNn1uJdwUWbS02UHWJUOJUqZn6iSygl5o9jzxiMmfR7wEJ+vZRMcm8Bw+Zr8Wf89o9hpWrLxX04a17zJ6BYkR1nxU0xib59+HvH79GUSGfv1VVF/Ghz/NDj+6eL4uV0x3X7Flf736ue7EX36o/1P52/Xh8Hxw+D4YdB+kajP7bvtgP0PacOg90LmfUfGeM37+vTL+Sa/bH297csh7Xzul32VGNAXZNuFIns9+mpIv9Z/PsD+iOctQ56n+vqecae6LBeK+mLAdoy+zT4uj56unVter74a0k9HH76Z/Jj9GMn0L60ZhGEMnq1+HuPi6tffB1Hn4uIK2t8HTOyptw+y8n7dN5842AdV2xm8aJO8by0ravOh1pE8+lxf9K2kGXtiaCUPzkT+TfvVd1K6H6YY7nToOoNOX/0j/dwCOt8EhrRh8D0m202KMZrnWMrvM4ni2QYdqXUiRkWSwYnYVD17pOyhzcEe2giS9LuXZzjLMA51AyvZJT/ZxGucqm4nSFDc86SfzSp/NjuN9IaAQ9kdr+G80vVh2H7oFQI0pOu+kZ0MNjjae1Tjmrrf3ZozOg56HBjC2beux/bxXV7ncGAIh284fPBcYfY4MISz8wxD/eF+3mFwvvYjCbsVXzwRi/elr9gzHWdfD8v204PDNxw+hHMWoYM35xvYoUQNCjuU/pxH6GA/YyhTCPZVBuNzsL9zS4/gth7BcIbXg3Se4QW7gLeMjlKtdB8SZeZIK/RpjkfvT1qHdRXH2f+rOi4k+lrbjuhILTvCm/wfb/L/4GylOfvMV+GN4JzPPh3nfPY73skaby50HAo/7CZ+2E3eMQ2Oi47T8noqzl1e34pmdBwKP/ImfmQvP65aYQ1KQOYLJSCcUAIyd5SAzEFhFdRLQIJS3NmVeFSKW3kiQS0DpJaAlIr3VhaQOsWkTo2Spaz6902o/hXn1b+ndIZVqtAkHxLy+ypq4FJFzQpHld8PRHQCvE1dDUvq/ISvCtAfpJsroUStSo5Xq/kn/+YD7arYwtxNScnXcZ/E9B+xfDdHbrdtjMTe/zNpTKW3SquytPASBzavSidcN2rI36luq/bTs7tU9pEo6165vnDlh7P+qMi6tNV+zU6neErMbqXAlkH5J/qT62mb4vsopef+V2V//ZjCP5liTzUpj2N4Q/s9uD+k/0XNE5paTDtug4CmMlf/qkwlObX1a9i51n6jaaztGMUGdC754S23tNqJGmL6+1mpF8/k1oloXu7sWV6f7doo9a4cNQ49TooyuzzkUUl/YrWq2uYim8x5FNvlORFfbPKsgM+r8sz3nxOiqpHHjihs5FmHvHLskKV5h/TStX4sXeNcukY5d41y7hrl1DXKqThKXpXml9e9ibBnGK17brUSQS7EklzoQ8uFIMiFoJkLndx2a+RCvJkLSXIuJIN5g2YuBE1pTKW3XAiauRAENgHNXAgq59diIBuC7f7kliNfzoeSm7fOvjDIhpY4G1LCZEMqyYaEPQmuZ0OskQ2xaH2Mb0qXsNP70AFOb8ZCbbkft56/XG8zuBlOzlPIrf0PTv6yuw==###2648:XlxV32DM 3fff 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###2820:XlxV32DM 3fff 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###2936:XlxV32DM 3fff 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###2752:XlxV32DM 3fff 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###2832:XlxV32DM 3fff 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###2920:XlxV32DM 3fff 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###2968:XlxV32DM 3fff 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###2960:XlxV32DM 3fff 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###2696:XlxV32DM 3fff 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###2792:XlxV32DM 3fff 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###3288:XlxV32DM 3fff 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###712:XlxV32DM 47b 2b0eNqlU7ty20AM/Bl/AHDvWzaepEnhIv6Ee5aZUc3xv2fB8TiKoi6SiNNxwQV2cXRQiH3PH5rD8aLZoxeuaWPm46PrmM9S6l2Ky/VZSr5nye1ZSvqLxT1L2X9S7PP6+v3UsiP8UA27dN75dvbTh8QnFR6aIzsuwUKcx82HcnwQdxd+QdsgsRAsVAvdQrJgaDI0GZoMTYYmonnWL8a5yehYsUAqpB0vfinaJqZpXdWoqKyNfVCQ3N1X/soWhBAQUkasDXEq4m5IO2CGiBVYVKhUtReoC3TQJfbv+oIGIUVUbmNIj/TBG72tvHpCGBVhTVh+LB5xeCTHy7NczchRkVtDHgPFVZg5pXUUPlfWQC0RlVUr22s0urWMTq5O/3pRdGJ9cs/WR40YTXh1zOYwO4c3M+UIVl1YndJYZ+2JrQ7bF+y4sGnB7hQlZo6wiooNRexYSKNQVepW06Y2HrWhaGae0nZ1lfccG1O3GDzLqU+DoZLAL/oYHC0Mwdzr4dGzaJY5NFqxhC1xuup2fEy7nKWDlvWI2bTNgfGF+GFnJOB6gOLF2SERbJ5uPxeGHm+exrnzbSb48zbj8cvPAeFCGeR4Dqi9DTyC8km/TPz8l9/9Dz9frZ/ne4PI8d4hetxUbHPjhK5dWQvFVp7Sfa3pc60UfP3plH39WWbYTatcnR7k/g3N/y0O
###3828:XlxV32DM 3fff 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###4584:XlxV32DM 3fff 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###5060:XlxV32DM 3fff 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###3932:XlxV32DM 3fff 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###4712:XlxV32DM 3fff 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###4532:XlxV32DM 3fff 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###4840:XlxV32DM 3fff 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###5060:XlxV32DM 3fff 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###5024:XlxV32DM 3fff 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###4648:XlxV32DM 3fff 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###6412:XlxV32DM 3fff 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###4740:XlxV32DM 3fff 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###1948:XlxV32DM 3fff 784eNrNW1GSnCAQvRJ0g+jkHDnArjPzmb98beXuwQYRFRAW1K1Uamf08bp50N0ITi+e8i1G8RZKDAL1/5f+DogoGKN7HDv9CTVGyn66InvU9+WAHNX6ToAHcHA8vIJHUuvpHgrpoUfLA5pHs63vhHgYk73+t+3XmgcOeWDy2TKJBBM/9ogUGnc8s0J8x/NMepTikSgPeQT1/kmfa3iOZtDEgxn9yuEBPfDHM2ieieHxYsSjqmdQNk9k3Mt5mOXBgD4cB4qw4VgfO3t4gqU/ZCFLxIOVPGB5oIonPXtmHlUZFRwlzWaoVFlWxsRoecSOZ0yO1n68ypnAMaXyhvh2nJbzsLliVPHk5UPRJI/JVQWr0Qcb6YON9MFG+kAjfaCRPtBIH2gU88crIOPPuPOnlMf4Mx74A5V1sIQnXAdLefL0gUb68Eb68Eb68Eb68Eb6sEb6sEb6sEb6sFJ9/v37wwfJH+yBX3/wKR7j+OsvPvEBv37jEx74HL6meYkfUmgOzUD2dF9ohWOeDtwd/RekFJ3OPm/JyGbkvrs66KtvqS9qn+PcG5TPoy9N311P6ZsQXGO57fXEoGEao7OitoG51r/HvW+lC/lANqpsr1lEp30ACTS6xNPEaiGr45zUmEdnmXXEEB/HnfVMNodL3SOOgI2QdygVCmGe92w7UoHbeooUXxODdGiK7FBLwoPF4w6PCbxZORvMwg8BPLf43mHS/DNe7PjTeLbBK9tfvsJrZT28sv3lCTxG8GE9wfrDXH99/yGB7xwm1d8FD1n6GPxgvclFiyI0K0D3rp956JJeqqJeqqJeqqJedkW97AK91HcCc9GguUNI77PZtdujmYcGbx6G0NIpCAYd3Gfj0VV8n7FLx+f9EdnPVR10VZemqnOQq7LOO/VF6enDc9bf/tgmyWkx9BIvEhtXXTStTMcNwjyWvcQYkG9GLwgjiLRoHkCnPFpsRLdyzOZlVMKFLd/uVCRJ+GgrHxHbdt63HDaqhAZ6O9WZ01PaljzRMrwdiBkb02zZ0hGyYkNwZkrz9KVb92bKo57yYrBzfj3j32/5NcWJjt0PXdmlUNpgp5v3ZOiTzAuq852LxU9CKPNZTE4/zcoPp7VgJybHRBQ7UvX/vq3RhBqtRfRq11zTbB0Nvl2HZdvI4NFTvI2tDB6vzwGk7buYjgR8O7M+i/pku3OnBzskjdTM8xL+qC3YJ63ryQ+/ZaUHcda0lyEPp7+0YqYpP69RfQ/6Aw02bUI4OaU4tpnbIR/GiA/mORQSfbzOC6Rk9G0vMuw/o/ZlvQpr+wdRt5zonJ+xUrZaZSz7NHhJxjK27shYkJELzs1YWw+uzVhN4iSULQ5GXKC4LFpStlpFi7FxTbQYW3dESyqfXxMtWw/uiJY7q9pwYW1Pe3G3CuoHaNB0fXH9GFSv8szD6/kzIW2/csVdbf/8WXCq/fuqt4S66i1hX73p3ETpT9X1O8a0rrxV9rKYQlV8hYWiKor2/bw7K3nYh3Nq+am5q7qO2D34H+DDfbWsif1GKjStJdfscWZl0YSthlk0sMt5ahYN7HRemkXv3e8M+3DtE1GTqGmwDoWLd/u4O8o5P3YTthrGrrFyXewae/fFrro9ctWtcYs/YN2BN6878AeclECL85q77TeYC+okL0yuRLtr0tOqYc5hS7tdtqE3DZUXnTanh/Oai1vQvMKztbxPvMrda0bvfjQLVFmN55akZ3O+versK6saJmw1rIaB069Tq2HgBOzSanjvOVjYh6v3A/qTMtDyqlA/vxO3j8Hd60jL2/Z7LAtiV7z27dnPaBvpfl157It0b7bnYnkBdijAlvfRvG1/rOG0n57nyfLLwlxsn43NVW7IUCPcBgv4u2ysyJzVcZVZgcqsQGUWfc0vDwuZMbicB+VhY4rFXy48Utf/ZbH6D/0KGl0=###2360:XlxV32DM 3fff 920eNq1W0u23CgM3ZKFkLFf1tELSOozzCyjnOy9MbgwtiUQkJxM6pXvxULoT8WifdgfiNNk4yfwn1b7IktvWtDgRCsizv5bZzVYCs91WCNgJxbr1FirXBc8FnBVyQtBhqkBa9RYbFgXw7c6LDVhSY0FAcvrdxk+i6nhLHgsqLHYsC412BmhbcBSA1anX9Ng66ZBZ1iwB2CxrgG7NGBXNVbrx9jgx9jgm9jgQyWdTQ16mNi9QQPWqLGoXNc2nIVt0K9tyBe2QV4X1tXZ2dKM1flxad1p/0S02McNnz058LT4f/vfInsZYvPv3nTwYLjPm66zJxn3WXjvcaY9Uh/W08uW3i3t+XHzh3Z94e29z4vUZW70715u/3tBOGMd1w1wqZsr6VmyS42eddypmwuNvvS85eFerhvgUpf/m5tNtniwuWlL74VHRdZuWUfl18u1A1zs5qJglRpub+SAgjdouL1RBwreoOPSX7eNmicdnWAfF4e4Uze3N1LCQKSEjkj5uE0eeqLONFR1HNOUXjYJ7FrNcsyH+rjSnnVc7IjS/nzDSfXUO5FruqLlhwvd3L6o9eEuA1zXze2X2Hb5f+SeLbKViwPcEZmhm9tXoZVPV8Ndu5gUdmu7LIMKWtZxR2SGAe7UzW3NZo+da2+60mcF6Oyio9xb5z/rfOHPn5+wkv2avuzvn/i0X/B2337hE7/Mt//wab7AwG8vzoTfs0FIHhAf9m2df4b2TUQ2KOtlfTlsTWEcHBG0o19oRDQmRET7xywaEzoiIvqQbsO+PQ+vznreT1Dr1dC4Peq1QfaBYKNs18uSyIqIWAQQmYCWR/QRIe9vUpdWEnt7BlcDumi5ts6yrxMKyWhm5M2MdjMz5mxms93MzEhmRo5c4VCtdCA5j7Yy626WHzQlhAYNDFoyS940cvkKx33aQY6W5wzRv5/+LUvFkCP+urs17Uc2MlLmJIkbTIwW9byEOfO0zi0zFGo21nai04f1Gs5Okika++yNHXC3doSTtc9Pb+2ETgyqr93FTRbwjBwQ9oBnBJl4C16YfS17aObed4SrV/a+V0E6k5KBLB0JvrsEGwxa8LhXCDkMe4BrLzJX9OJXdMxOIWTbUMXtiLDT4Kn2FvRjXo/oiAhBX0RPDeiZxX6imGGwmMUwU8SuaiSpkXBBnnf1tqs/pcyidsZZD3mqvDI2C5wSylsCoRjNtvhh0hrhzLc0m6+Tnp74ie1jwsY8nm7v83i/jmLtMvtNpaf87zrKXhetvI8JjdHkxZz/pxs3CVGOJlrpsE223X6MWM7lcVj7NndEHyHyYOj59HLeVzBtsYuNu0tj9DNMEdsacanUQceOhmrF9zKsu1XhkWWfY9n2zd4B87MywSc/fd1JU+0rQVrJlHVeqY0olfHx7OK+z5pK3/n94/Vvj4yn5QaaCZu6Vm430sxZqjXibmCgSbKpSTKnOUrrOWE6JbjOY5oqWEwVrB046xBTwjq2UE/X18l8ecj23L6KGZKGkm7mgVV0vYZmHZMsZx46qzW16aCeLEqZ6Jm0PBJX7zVFPpKJAw4Q8gL/Gzi89DafQQqoqgO+b2rLWO7TzTnfzX2aOTo3cz+W3zj7Tk68q4j2V81rfIWEjP6NOG/IbjnyDr6IBnW/Xxt5ndGMhQzEOEh+nMZJy9Zhm0+HjecO++G2DntmO+zs7IX90qVC47rf0Nuy05tzBRl6wk/3keqoqWaV0qRLYf2TZMtV/66dYClque682Rr9MEXRsYxXrita1nEpO+BI5sxtfCD/TilzjlQVJkmDAzUOZTXOPLCOyypaGKqN56zKxqFR+ZqsB4eq0jlVpaiosc3170KN3VLpuySFHbI+StWfGapw5lSN0li/tn0q9Gz6usc1zsKzSlg1jXeDkti/EuNH9QFCrZDPRWB4Uu2yXApy9s0u1tgI17DTl3CNB+w1nhQv6jUFf11Yl46/FtVWB1Sdz03iW+qyvdjrYK1sMXMMzFD3CNAZIxQz4GjzdQnkKXW5/r751Ka5c60o1fjb7wiOuXmlDt1+72CPufynM0qz+Tv6c+9xvW84W69Ldwg5krvFyG9Xa1jYfQ+VaMzueetout6nZLrjGeVoxeuwL0ajKnZVI33h//ZVokXwSEV/dMSL2LOtp56Nzj3b8n3r2eypZ8vj66uSPfSZi1rvItkVXvX8U5R4GpS3iZ/sD1g7X7K7NSigoQHtWCz/u4qItarfYETspMbON6QUzWwWG+5rXmM3ZbHbMr/Q2JG+TjcWYqfgP/0IXEy3k9mvO06Zn7sBPVBylVG6yzT/8C7T/PO7TBCimOtmmjZ/3+sYqNZKkMU+EOvF/wGr378p###2732:XlxV32DM 3fff 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###2868:XlxV32DM 3fff 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###2844:XlxV32DM 3fff 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###2544:XlxV32DM 3fff 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###2524:XlxV32DM 3fff 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###2656:XlxV32DM 3fff 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###2896:XlxV32DM 3fff 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###2688:XlxV32DM 3fff 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###2896:XlxV32DM 3fff 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###2952:XlxV32DM 3fff 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###2844:XlxV32DM 3fff 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###2832:XlxV32DM 3fff af8eNqtW0Gy4ygMvRIgYeM/55gD5DvOsnezSs3dxwEbRCwBhqnq6spPBIYnPSEJebMvNADglELAFa11uNqXdWBA2QUsQP7L/umFMy77dwtuZPTqPxul/v33j36ox4/6wfcfeOLP8vzrH3jCj/nrb3ianxXeMMHDD8fjUTo+ytr9L7SfiZhHaViKC/WLsFpc8stai/ss8y5Bn09mYGXDqvz2utaNu0ha0/Mymvwi7dq6/TPANDBPWMUzfD4V9bsrCg5FrZmiHL73IY/C4z5Twf7//qv48BPGRYSOlbUzrh5ykFR1SHzPkTY7Ddh0GL16yKNNr8SmHxlUz9cb5i+blm3KeD3YY4Natqljg5rdoPneBsMFqHIhrOXWug8uQJULLYq5a8P+uZ4L6LnWz4XpNOCk4CfhwpIp+DG/92lrXMAmLpTcCCsbuaCqXFAs5AC2Qcm59PV767/vZVQYvZ6KC4BvhFFzxymhBGZglRnYwAy4wQwgzFBVZigB4HmIGRiZAQPzhFV4c06nxIswY8oUNf2+d4uRmIHHVLrxlOCdCrKnhGC94taxuvXTtei4ca2IhWK28Q2vPl9e7ofGINA4auaQkOxjHtlaPPv1kN+cD4ikcwvZlU+Co1HN6jbivCIiQZUZz1l3fKpaExuHDhs3jTY+3bBxHrhlwBCWqEA4N26Ijets4689rnHNNm6JjeuqjWshwoeGwzGX/n8Bguj/wi56mZIBLSC4BSz270E8uudmc7FxRlPIN05mmCoz7GkgkAzE5smcNva9a0ExNgJfGj5NOnjC/R/jCWEfF5ALEvaIlHnp+ZQ+JGwWn0q6C+vynrBKVS5QK+zLr8MI+wrjgsR1pVQak/QRL2ghXvge6RIixZG5fayX0eVQzo/ebchnpMgFhWkHtaBQn5kKRmvD5IdNnmY9XiEolBe+Xlw+XwBAEThW1psjp9jFj3NRIih2EwLBJT5lS4GgnQUziGs6JCRljqgyKVJ/Zg8KsEkBkCvAqbwkkD/Opcnq0TW7WSec/JtQDEjb3IrFAD0AkSYQxVhhShBhHis4zVdNkrN6NoUlVxudyzYaCwoySLYIkhlxCQSkGFfMhMhfpSUo2dEyUMkrEXn+Oh+LllQ4k5cBoJYIFAnAHCHcbxfh6lDZZsLZOzA1pCp3IZoJRNEnLYRwWLQlnnCmgXDzDcKVqsLXU1iqZo+4bk2cd6rn6gch3ZYD9WohXU/JUCadEUsd0DBHLs1BYIcAtCmMSa6dFMQh91rLkhcBJTLWIXTNZHSeaiH4MHI4cQQfhoQTUA0n4MYdSzuoCwF1OkFdCX1tB32hnsYX0oN7hewrfSWgcAgoJEBFP0dK0KA6zsyeumaJvh/ZCXVYtDiOSrTeVLRnGxCBItWajQD1LN5bSTTFhvBCNxM1yG5Vm9qKNjV2ctKzExP5SPEWpw7yYQP54Ab5gASrphqsSjY1DQE1EaDOzNMoYlMmB8rwQEFeUOiI6qFQUihF9aRIUIzqgY3rWxP0MHrNauKGFEohDzF+Fz5Bj4/rzg9RhMnw6fke8Rl/7RDGWz9e1RN1dpxuTNnTPsspO7CRX7tSVFTK6Q+NISSf79lue6G80XINCVqUqMgjaCkWP/UQTDrCdKZaBojtYgfFe/KIEsVnQnFVpbgEkxuC6dq+Y0gNDl5NYcg3xe9npDLFSxkptae2Yno/UHME6ozXDKmVoSum7jztmqrwjdZkCznptabc0ql0FyKMnillpIbUymAqJlQ85Xpi/4JvIoeABBM9Jgw5JmRQtwqoyxCoMTdPoQqpraHqIuj9LFUmqC+AC6Fvem6Q2AmKm9gbZIeAshGoSFBSW8Olg6B163PNtucKWeeVoCDUQtTQhYuKEJ35gSG1NXAdBO3JD0oEBUJQqBIUCmnXlaASqMsQqDH7TqCSOhzqpjrcN0F78lMshBp8KDo1zZFLt/aGtQN47Q0zpA6Hj46AFhsiNX0jUtOFrPUaqRkBJjcEk4swxYPgU1mzB0z51fzqr+a5Jjojb1EknvHjvolnCzQ18fL+lJYKSUm6XEiyF19b2Y3PMRB59xO+3Y7WgeBGZlY6PMVGiUN6P8Zk6SVKnNKr2EIe4tWtaDpcC7k+SsC63u4aO9us2Bah7jaQs4Z8uY+uGzLBg+yokkIj05yZjysl6jUWzKQwwKFl7rqZQNZnaqTRX1frL7y2Wn2sVAvduFODg6ErPm87+hyjZlaSOUah48HEcbT1VWp8gYv0R2IOXoGN8YM0lWhrEkxjyxY/xeqIG5glaOWZO25SwDZqaj7gdGpP6yxFhG9ZWfu5ajMlzRwScksSDuGEEafImRflTH47vk3XFlwQLdUcHllq0DobBLZYHrxpSwN1NHnFHEpTg1bLfgILepobzoHU87oM6XuK+j4rdEA6rqeqh1T+5JpIWyl9X0ILrwGkSk6sEF56dwV5Tq6hj53MwsnGtlnDnIZhf3OxbfbaCsgiI47kdz3dbeBj45PLS2viPM+sERB0Cm1/83uG/dDh2k7Jnsvld0k70bspUtAoIFsp8ksvaCG50rx/FRJn4K9C9h2g8K4QhNZaL3HuEVh/yCLSfJHRaj0EBfGVnUvNtn4h0r+e+HqQjuc0kO7437wf5KWLDqlYEgTxTda2hcYeOdHIWua59BBVjEATWpiqgelCQCEbsSVGrBsIdP+ioVVHHp1gBEBcUR63a3QfV6QLdgAxAeabLssomkJtNUg7shMgmENh36U1ya/OUtzv149lnTui81ZEgC3gX23W3LgWb+XNV7vrgOPKrte718O9rgxIHJf7emsDwvH5H+/89Yc=###2612:XlxV32DM 3fff a1ceNq9m922nCoMgF8JkiC6+xznAebPy971aq+++1EZMWAgqLO7ulbbmQmIyUcSE7zRQC9HbnQ9Aho3IOJgjEOHSDT99f4/EMz/F6R9lGiR7jZpN9JrkXrQSH6SxUV+nMa6WW76/JikenqwGWi5Rj/9Gafl2VSKzTQ65wgtWmEVlF2Vyb7vu7T6vWa8cK8Wh0W6jxJvaXqgLUr7KOEKWoFZRtQK5voq6nT+Ldz/Kv3MV8F/Ka0i6H+e8e/f3/aG7st80fdvfNLXvfv1B5/4Bb/+wyd8vcZv7PFWVE9QpmHgGFE9DJxFYr8wXL6Xb6tTbyuMnn+zCJfUQ1E9uqFNwdBUAYpp7A2UpDFa7iKHHBjiJW0FqfkObLLBnsIGgxWAjgFAjQBwRYS9B8vtQAUAWKShAMBwCYDhDQBc3B8YAQAVAFsAAFUALAPANgPgGABWBQBUANwKgGcAQAKAtfiNg0gA39SyTWmxij7SvdkpRp23RMm3dmKkCCNL0vvvbYNOUdVp32zNnlkTVGKAOVm4EElCpAwxGGYCTu85insON5J6RpJJSQL7Pc1u1HBSzkMkfpDxY1R+TMHyoFqeVMvbAzu5lq+UdQLFgLFmcvEuo7RV/bEtZHH9JcqAUdZdoCys47l45EjZMFGGgTILmGLmbitm0iVXZG2DOaU4PojGJCUD8mn+k0TxnXGqmdVIw7TWfIzN509yC3mMUTMZu7gHiDMsdztvpXSW+HsyQxw/6Wceu/06jZ4klpnG+dINV9DnGF3bVfKZ47c0Lhs1+TzJBvv4plW2riGbY7qSXcG+cbBtBvarBWxoANs3g+2zjCMf5fKc5g2rrYANoqwOdilqY318cYyURdrESDPGr8zQtgJ8GF+BtWn2+vgKZE2znxg/AWqaNtDuM99AAfA7A9yOGeBdC+B6Cib7VQlwSLwxKIADC6tSyCYWVLUkBnaFF1A9tk1DfIPHPgrwcY99AuKDHvskzLU5gsdtANrmn/dAPzjQzxRovIdnpxrO1IAzNuOMSlbphDx7k637YLluth/Tr8nFVj1r8LV5UO2V5OKYry3N/hlfW5r9xHjR1/YCmph/3qP55GjeUzTJVtFsryC1gankvE7Ieakx593G+GqenGN5Luftfzzn7T+e855EVM9ZGzCt5tQB0xfHdEgx7ZaUwCqgNlW6mkB1b0CkfNfHBzmf5LslWdzlxnKF1Md0INZHszRjD7PP0oF9h0IeY9Q+heaX/Y/6Zf+jftl/2C/7c3555MD7LAcmJQe+UIYcikVIL+a/OfBQyZVz4Gu5cg58S/7rP5L/+h/Pf/0/yX/9D+S//lT+S4bD7LL81+tJxtm66lCpqnox/81xruXKOc77x7kaongg7z2K6LUag//RGoP/cI3Bn6oxkN16EHZME4rnlE/4pAPBTkLwLkGhPZuchKgWT12tCxnIV7gfSnV+UbLeA/FqDwSRrpxPiNV+Wzz9gfkJiOIqHus8wZ7A7dkn9nxM4bIT7dkJepp35Evsi/AVvqp9EUz6yzs9rT0P5WwLNvQrN0mh93bBVsRs5S7ZCqOt1s4MIbOVeWQNQCM1AN1yOcMUb5iZ8qfFIG0zae0Q0pONhu2syIke0DaDLDs5NPEpN4zbJN53WZXuc+mKTmBxAu0aNKoGUXRh22jtQIaLWu6L89iG9l8X23+4QkYTZG6FLCtsdEuXGUTIbLa7PXVkJwXbImRcQlqcKyt3GrV2LV6FXqy8KqnT3qswn+n71GD2DE+rwmxV6V6QhqJOcg1KOsELeIbRQW/X8HQRT7gwD8Uu9xIBA+aO+9LbOV8KDD9Q8asd46njd6YrU8YPEu8IKn6gSve5dEUnqS/VNWhUDboKHKYBMoha7i9Btj+wQx33pUO7L+U7FNl+RlFFkEmf86U8f0MV5tJ1jArzmZ5MDWZkeKIKsy7dC9Jl3RtV911RJy14dkxvZTzhn/lStzml7RnCc1+aPkPY+33G3GeY03J4y1Q7E6n0djCtTRpS6fgIZ4tbyB04shXUNtfku+g/jme82/jyqaf9Hc6jnHhGvCRLB2RNsyztLTL9K1kkvFpAUeJtkYI0rdJvCd3aEkmytYm9rLBZ21Uj0MDYOB6Bhg9FIDh1mI96vjWzCqId9qePN78kw1sy2hDHMaMlj0llD3jlcRGrj4sPLZpVuzWuMrIkLX0vv7JgTz4kDo2e+nMPiRSxWo/S0cATm7TLAnD7RljainWvXDumT+viktcBnJpra/t0UL1HrfG4j0O6dHbHyj1A4z3U4tDxh9VaHCofUtzHIavI0gFZ0yxLe4u8nZRV45BlLs2qcUiztkSSbO19HCq/qiHFoeOp5vAPU814/KpYJ2xzPMk8wfHcuOOhrL07rOcZ6t5e9rbdAV/fFbamv/QA6WJmbS+pzUe10YX4kVdx6hGsVkc6Yw2qPLGW6mmPxlzj7CnuNL/Ra4/ltTKXE7C+8zQtfUls7PZvCZbnhyS1BvUu8gJMXXNnC1FYLUQ9tPpt9Y1AKtjKniwcDc377FOFIx/3fSzCP7iby1716k29CJ9cAqGotu7Soru4aLgUK5IOxGlj5LGrjkMteq4j/wcQD7li###2860:XlxV32DM 3fff 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###2980:XlxV32DM 3fff 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###3576:XlxV32DM 3fff de0eNqtW0uS4zgOvcwcQCD4dW46Zjazn+4DSJS0rIhezGwcefchpbT0QIsWbVVlhNNJPIL4EQQhFWs2XadHM2tmSt+Cnow2s/GsmE1g4tB1ho1i0iahJuaEinrWLmF5wc9asWFbn61jns1q46QWLiVapcGMYNYP9DJT5e8HaC0kmY0xWvBY5yUeSXKfdSzmLlobkphjjt/fv6j34dbd9P0Xj/pGsfv6L498U19/8ahuSnX3pK7j/tgIB2zp0JC27oYq+nlcs6+ovnI6VljOXoMiG0olShpfuPDCpcNRPS+GFn8n7KqF2yghU7LuaeWS12woU3dZEj3Js2DTtxqPtBK91DProE8dTE0yaj6T8UMtxCoPuvBK8gktG/Vchsf8PYI23o9tvKzz7PV1hdp8gq3JsJE7Ea0r1jxh1+3IB9jwBpYA0YLOsZeGTtCKwwOdoBv6Z+Yz2m3RJXhX0GcZAFZZk0yfkgyvSUZ1LJKM7e9JtX6L5risQWnpkMZzwvTpJ+1aiPh4EPEyVcP8Q+RRpHRyhYLL8dpi9o+kSyJe9R5Qby319m166xO9Q7Pex9nWX9Dag87qoXPcdaZ5kDpbqfP4s14AnaWnxwZPh3c9/ZRdkcfrU4R+ZKRd3xH17T/QV53oy836Hpcx+oK+etPXPPSdMKYnoa8393TO9k9nF4mzSz1+p8+EOjjrCvwR7kl3eirWqJqlqBIZfHjyUmOFsc4eF2ttmW/G6JhfZj5cj5dsNDZEx+OEk3pzcR4i9khyrujNIivW9OZN70eUhA71nj7Qu63CadO7VpeaUru39DabrJzjadWbcHd0LzP+03ofZL9XWrecc+/qTJuc+ykXFOqsGjLgut5aY/gGX4cDnU2R7VrPuCcJTjT2m76PjB8Y9aVmH+/6fuLjY33f9XGLxoc+1qizb6jgkr2WS+mScYo8FpvyGMz/KI89y/G6mllnR5nHzI1uRPdF3+5rGbLJFOYntVlZzBINd/YH92VVOZu5qkn9ms8Nd123ZSa+wMdsjRR9SZ5Vm/WE0C/5LJjT9sXK5czGiK3Z6KqfzFPUvi8J79HmoBlj5ekx6jvbw8gyb63lq/Y3DX589FBetaHMb4oHczkezAd77qp9N196SBNmLtJErKUJUwnWWocyvKF49YJweFy0OlPvpeqJM8/42C1pXQkuszfJFo6vg0s1BJf7LTa2bwSXq/NegyvgcRyaLmFS70evWFcvYQW+6RKm3thCqrjCnrd526JItHlXa4n2k2xJ9OOaVqtH0kcFav0QyMjJOFYHbTW1dfFXhGxKHqMZWqBLg++whYkSmZOGqbtw0K8NRy+tP+yJ0FmZB9ndORR5UC9rucN9kWWefp6flBru81bE0jyuaPn8QOJo1bMHEurp8D+T/czeseTzaAI+7b7jErp7xeuk/F20eazH+gInAsn5Eie98aHfYOstIiNEpC9OZv98Mr+MjUpkqHfi67iUuORLaCCLpta7nBg8cC0mPMREuy9V1WJne0aWjUf75bqPFq3WmBohpvoiprq2mPqJ3kpE2fb4f3Fq7FY6f/5NbXZuy03vSH/StH8/9izE3rV9ZWFfmSsywb5aG0smRxCtERTjI4K+f9kBxod93AUY7/dx72A87OPBwLjfx3uGcbePUwBGRAoovUEKAWVgpHRAiQSUbkbKjJQJKOOIlHGnKAaj0GyB0tudMndIcLmVs3dyVL8aEBAgyMwwdeiKqYMCJK6e9Afksv1TUOeCXFWKTNoK1vOLiDp8DusPj0B81aRWFvxcND7qzsH8I6xxlTJtf4rttE3JY6oWRvzhTN0wM9t6+fajew096Tya+JtUjhcrfyIbH/aLzlajj1ery1mzeO25ViuX97or73Phj23h31hfV20Rqlwwnmptks9mUlM8tzYd1vLc/bzA1r3RHX3XW/otm9e5hA/1N/Xdtr3A17316t+5t8wLy53NrL/f5hraXn5re5lLfNzGR1/iYzc+fInP+TOIFj56awvyJfuU7xF+zuf8GU0bn/O2aRsf2tq41+xz3g5u4cP7+x+X7NPyzKKNj3jL4QKf82cfbXzE2yYX+HQbn/UiogYo7+eA1a3dq1vKAzPUwZMG5MxFxTxDwT45RMYSOQGyR+QskdzBlWKC2wF3qkAy8Bzh7sNc8tRw6RnhtsXal8gekBMihxIJ15iJEDkVSId3PbgjsQMWo0JCycJ3gDSA9FQgh7gje7ju8DCWyFnelDhChPRw/+Foi6nRAzIgMpRI0L2PiIwy6nQHPAPEku4KnpogQgLEkiZVIjUgR0SaEgkR0neILCJEG+DpIZbSbiyR4F4/IbJwr7bg3gCxpC2VSLiKB41IXSBxx0VY3XQQIRGMkpIJXL8ni5QJKQEpeM3HjW2wM0GTR4pHyoAUsQ4EgZlxzghSWzEHt6ztUZ9xRoqQDXaJUz1SIBAcK6QQUgJSYB873SFFIwUbJxPsaN9hs0UFpESkYHOIxJwBKaiPgg3oA3oODOp7VAd5DSgzCjbgDIgbP4FvFJjMzxY7PdjOAsKE4+hlcEvADUEaCdhmAqcEbPNgiy0MtR4b5lRssoWojrtsvcMuG4NYvccwYoUU4cQJKWgtBgP3wvIKvNiPKEEECaICbqZDAuRqDetHDH09IAG8YkCuiIFvFBIghgxK1cMaBschgD2OQ/hCWEe0CDh3JJEmYOUR7UF4uI4KQ2gE/UbGBDKC50eH3BSu43DDKZzjxSYFLUfsvBpUZ4DcZmDGxLhKBJknixZg8O/kME1p2KcTtpEpMlIwuc5iDq4zg/PnDmSzEKwzZikHvOYgPAB6zr04EAJScE+MYLR5EJ6GQE5FN5jAk6AURW8u0AGrBRaW9l5QhpILowLaIFh4CjMRdT3sUceCApMsduKJ0CB44hNZPFKwBCUSgTziUoTVKI0kSGIWPipIiqAYQsIRGWKxQkqhhA7NpAzOchZJES1o0YJqxq1r0X0sjniNT00YaxaFhx9xT0jygiRmCYaYvxQemqRFBRCNIImsjmtpg3toFhSMGXS/tqCww/2gZ1EHoUeMEA9vaGQUml2Ep1GYl2ZBEvXTjB4xE+5/B3uZLO5CchFJJGIGzW5ZGBBVdgrLm6gESdR+syChofDRVFoYxLBiKQ8xKKLTBYhpawQFYsmiTg7v605SwFVOrIM3d7Gr/AxmsCwooKrFXR860MdEQQEH7u9Lfn//709az8P0093/QwnHqb5cbqmsxjE53vkUQf9Oyebrb/L8NdghX++6W1rrnobV1z8oXaBTvT2oEBdKt1PSvTgVPQ/E96BUOIIwQLS3RxAFEPKHCxEuFNURpN8h+d8ff/zrnnKgvzEb6wyrNPLP+3DnNXHd0pnTT10u3Dif4vT1N6dk+p3o/Wq0IdMon8lz/oj5z2Us5A+XP0xOHnm6yTidcXrMf/r8YfNHHjPLWJ+XyXNtnmvzXJvnujzXZpzNOJvXGKb8kb8p8xBMuxyEKgmewjTt56Svdvo29IlG60ZI1KSyus3JqW6C8dyEiSlUTTelYpFSoaNuVuf/gjDebMylgrv9H16z+cY=###580:XlxV32DM 3b8 22ceNq9Ujt2HDEMu0wOQIr6chqXKVzER5Aoqcx7W++buweUncaO2xSCqCEJgtgtsWmpOH1rjUOrkdY9tVnSTjgs2tvQbkEHNR2RdFQc1Bl6bSy1mXTK0FlxRtNFWxe41ki6LOsOpjslZaIF4AYIBSAGiB4VBhiAGSVcO2CKcqAJ4AoQfyb0hkKADr4wPJoZsIeyhAAYIBUDaYQMjhkEsSCbBM80gwO+pY0oE+pyAFUWjMwJCnIHFAFzaZhWe7p+clnX/eRiis/gU7YdNW3TzFtLhmeY3QrONO0L/qSioxWdC/sPjOeFAamDMQ+fB8+4EshqQKImbFeLfR6VfBJcLKiJzbUfxXt9LhQvzBryVqlfFAfPolGk/E3FHJDCEqcnwDWhqpKiUrh+xCK6t9+sZtdrhOfx+bow6PlYdP2OaPILwui6/53g7xLhu4Rc9+3S5EMaVlHBTxbxr3AXv0ib/1fa/fLy6/kGXrreoIavB5M/HszvL9us9dxV97nbx23u84mWu41oErnnJwru/InO0icqvvqJmhtwouE2XJDxB7lk/R8=
/spi_master_slave/trunk/syn/spi_master_atlys_top.vhd
37,29 → 37,36
use ieee.std_logic_arith.all;
 
entity spi_master_atlys_top is
Generic (
N : positive := 8; -- 8bit serial word length is default
CPOL : std_logic := '0'; -- SPI mode selection (mode 0 default)
CPHA : std_logic := '0'; -- CPOL = clock polarity, CPHA = clock phase.
PREFETCH : positive := 3; -- prefetch lookahead cycles
CLK_PERIOD : time := 10 ns; -- clock period for gclk_i (default 100MHz)
DEBOUNCE_TIME : time := 200 us); -- switch debounce time (use 200 us for silicon, 2 us for simulation)
Port (
gclk_i : in std_logic := 'X'; -- board clock input 100MHz
gclk_i : in std_logic := 'X'; -- board clock input 100MHz
--- SPI interface ---
spi_ssel_o : out std_logic; -- spi port SSEL
spi_sck_o : out std_logic; -- spi port SCK
spi_mosi_o : out std_logic; -- spi port MOSI
spi_miso_o : out std_logic; -- spi port MISO
spi_ssel_o : out std_logic; -- spi port SSEL
spi_sck_o : out std_logic; -- spi port SCK
spi_mosi_o : out std_logic; -- spi port MOSI
spi_miso_o : out std_logic; -- spi port MISO
--- input slide switches ---
sw_i : in std_logic_vector (7 downto 0); -- 8 input slide switches
sw_i : in std_logic_vector (7 downto 0); -- 8 input slide switches
--- input buttons ---
btn_i : in std_logic_vector (5 downto 0); -- 6 input push buttons
btn_i : in std_logic_vector (5 downto 0); -- 6 input push buttons
--- output LEDs ----
led_o : out std_logic_vector (7 downto 0); -- output leds
led_o : out std_logic_vector (7 downto 0); -- output leds
--- debug outputs ---
s_do_o : out std_logic_vector (7 downto 0);
m_do_o : out std_logic_vector (7 downto 0);
m_state_o : out std_logic_vector (3 downto 0); -- master spi fsm state
s_state_o : out std_logic_vector (3 downto 0); -- slave spi fsm state
dbg_o : out std_logic_vector (11 downto 0) -- 12 generic debug pins
m_state_o : out std_logic_vector (3 downto 0); -- master spi fsm state
s_state_o : out std_logic_vector (3 downto 0); -- slave spi fsm state
dbg_o : out std_logic_vector (11 downto 0) -- 12 generic debug pins
);
end spi_master_atlys_top;
 
architecture behavioral of spi_master_atlys_top is
architecture rtl of spi_master_atlys_top is
 
--=============================================================================================
-- Constants
69,10 → 76,6
constant FSM_CE_DIV : integer := 1; -- fsm operates at 100MHz
constant SPI_2X_CLK_DIV : integer := 1; -- 50MHz SPI clock
constant SAMP_CE_DIV : integer := 1; -- board signals sampled at 100MHz
-- spi port generics
constant N : integer := 8; -- 8 bits
constant CPOL : std_logic := '0';
constant CPHA : std_logic := '0';
-- button definitions
constant btRESET : integer := 0; -- these are constants to use as btn_i(x)
179,7 → 182,7
--=============================================================================================
-- spi master port: data and control signals driven by the master fsm
Inst_spi_master_port: entity work.spi_master(rtl)
generic map (N => N, CPOL => CPOL, CPHA => CPHA, PREFETCH => 3, SPI_2X_CLK_DIV => SPI_2X_CLK_DIV)
generic map (N => N, CPOL => CPOL, CPHA => CPHA, PREFETCH => PREFETCH, SPI_2X_CLK_DIV => SPI_2X_CLK_DIV)
port map(
sclk_i => gclk_i, -- system clock is used for serial and parallel ports
pclk_i => gclk_i,
200,7 → 203,7
 
-- spi slave port: data and control signals driven by the slave fsm
Inst_spi_slave_port: entity work.spi_slave(rtl)
generic map (N => N, CPOL => CPOL, CPHA => CPHA, PREFETCH => 3)
generic map (N => N, CPOL => CPOL, CPHA => CPHA, PREFETCH => PREFETCH)
port map(
clk_i => gclk_i,
spi_ssel_i => spi_ssel, -- driven by the spi master
219,7 → 222,7
 
-- debounce for the input switches, with new data strobe output
Inst_sw_debouncer: entity work.grp_debouncer(rtl)
generic map (N => 8, CNT_VAL => 200) -- debounce 8 inputs with 200 us settling time
generic map (N => 8, CNT_VAL => DEBOUNCE_TIME / CLK_PERIOD) -- debounce 8 inputs with selected settling time
port map(
clk_i => gclk_i, -- system clock
data_i => sw_i, -- noisy input data
228,7 → 231,7
 
-- debounce for the input pushbuttons, with new data strobe output
Inst_btn_debouncer: entity work.grp_debouncer(rtl)
generic map (N => 6, CNT_VAL => 200) -- debounce 6 inputs with 200 us settling time
generic map (N => 6, CNT_VAL => DEBOUNCE_TIME / CLK_PERIOD) -- debounce 6 inputs with selected settling time
port map(
clk_i => gclk_i, -- system clock
data_i => btn_i, -- noisy input data
356,12 → 359,12
-- COMBINATORIAL NEXT-STATE LOGIC PROCESSES
--=============================================================================================
-- edge detector for new switch data
new_switch_proc: new_switch <= '1' when sw_data /= sw_reg else '0'; -- '1' for edge
new_switch_proc: new_switch <= '1' when sw_data /= sw_reg else '0'; -- '1' for change edge
 
-- edge detector for new button data
new_button_proc: new_button <= '1' when btn_data /= btn_reg else '0'; -- '1' for edge
new_button_proc: new_button <= '1' when btn_data /= btn_reg else '0'; -- '1' for change edge
 
-- master port fsm state and combinatorial logic
-- master port write fsmd logic
fsm_m_wr_combi_proc: process ( m_wr_st_reg, spi_wren_reg_m, spi_di_reg_m, spi_di_req_m, spi_wr_ack_m,
spi_ssel_reg, spi_rst_reg, sw_data, sw_reg, new_switch, btn_data, btn_reg,
new_button, clear) is
459,7 → 462,7
end case;
end process fsm_m_wr_combi_proc;
 
-- slave port fsm state and combinatorial logic
-- slave port write fsmd logic
fsm_s_wr_combi_proc: process ( s_wr_st_reg, spi_di_req_s, spi_wr_ack_s, spi_do_valid_s,
spi_di_reg_s, spi_wren_reg_s, spi_ssel_reg) is
begin
468,7 → 471,7
s_wr_st_next <= s_wr_st_reg;
case s_wr_st_reg is
when st_reset =>
spi_di_next_s <= X"D1"; -- write first data word
spi_di_next_s <= X"51"; -- write first data word
spi_wren_next_s <= '1'; -- set write enable
s_wr_st_next <= st_wait_spi_start;
482,6 → 485,7
if spi_di_req_s = '1' then
-- spi_di_next_s <= X"D2"; -- do not write on this cycle (cycle miss)
-- spi_wren_next_s <= '1';
-- s_wr_st_next <= st_wait_spi_ack_2;
s_wr_st_next <= st_wait_spi_do_valid_1;
end if;
520,7 → 524,7
end case;
end process fsm_s_wr_combi_proc;
 
-- slave port fsm state and combinatorial logic
-- slave port read fsmd logic
fsm_s_rd_combi_proc: process ( s_rd_st_reg, spi_do_valid_s, spi_do_s, s_do_1_reg, s_do_2_reg, s_do_3_reg) is
begin
s_do_1_next <= s_do_1_reg;
607,12 → 611,12
dbg(10) <= spi_wr_ack_m;
dbg(9) <= spi_di_req_m;
dbg(8) <= spi_do_valid_m;
-- dbg(11 downto 8) <= spi_state_s;
-- slave signals mapped on dbg
dbg(7) <= spi_wren_reg_s;
dbg(6) <= spi_wr_ack_s;
dbg(5) <= spi_di_req_s;
dbg(4) <= spi_do_valid_s;
dbg(3 downto 0) <= spi_state_s;
-- specific ports to test on testbench
s_do_o <= spi_do_s;
m_do_o <= spi_do_m;
619,5 → 623,5
m_state_o <= spi_state_m; -- master spi fsm state
s_state_o <= spi_state_s; -- slave spi fsm state
 
end behavioral;
end rtl;
 
/spi_master_slave/trunk/syn/fuseRelaunch.cmd
1,5 → 623,5
-intstyle "ise" -incremental -lib "secureip" -o "D:/Dropbox/VHDL_training/ISE_projects/spi_ms_atlys_ct/testbench_isim_translate.exe" -prj "D:/Dropbox/VHDL_training/ISE_projects/spi_ms_atlys_ct/testbench_translate.prj" "work.testbench"
-intstyle "ise" -incremental -lib "secureip" -o "C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn/testbench_isim_par.exe" -prj "C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn/testbench_par.prj" "work.testbench"
/spi_master_slave/trunk/syn/spi_master.vhd
166,6 → 166,8
-- circuitry.
-- The same is valid for the transmit and receive ports. If the receive ports are not mapped, the
-- synthesis tool will remove the receive logic from the generated circuitry.
-- Alternatively, you can remove these ports and related circuitry once the core is verified and
-- integrated to your circuit.
--================================================================================================================
 
entity spi_master is
211,7 → 213,7
-- all signals are clocked at the rising edge of the system clock 'sclk_i'.
--================================================================================================================
architecture rtl of spi_master is
-- core clocks, generated from 'sclk_i': initialized to differential values
-- core clocks, generated from 'sclk_i': initialized at GSR to differential values
signal core_clk : std_logic := '0'; -- continuous core clock, positive logic
signal core_n_clk : std_logic := '1'; -- continuous core clock, negative logic
signal core_ce : std_logic := '0'; -- core clock enable, positive logic
304,7 → 306,7
-- In order to preserve global clocking resources, the core clocking scheme is completely based
-- on using clock enables to process the serial high-speed clock at lower rates for the core fsm,
-- the spi clock generator and the input sampling clock.
-- The clock generation block derive 2 continuous antiphase signals from the 2x spi base clock
-- The clock generation block derives 2 continuous antiphase signals from the 2x spi base clock
-- for the core clocking.
-- The 2 clock phases are generated by separate and synchronous FFs, and should have only
-- differential interconnect delay skew.
/spi_master_slave/trunk/syn/ATLYS_05.SET
0,0 → 1,619
:SELECT:DALL 0
:ACQUIRE:STOPAFTER RUNSTOP
:ACQUIRE:STATE 1
:ACQUIRE:MODE SAMPLE
:ACQUIRE:NUMENV INFINITE
:ACQUIRE:NUMAVG 128
:ACQUIRE:MAGNIVU 0
:HEADER 0
:LOCK NONE
:VERBOSE 1
:MESSAGE:SHOW ""
:MESSAGE:BOX 92,39,92,49
:MESSAGE:STATE 0
:ALIAS:STATE 0
:DISPLAY:COLOR:PALETTE NORMAL
:DISPLAY:STYLE:DOTSONLY 0
:DISPLAY:PERSISTENCE 0.0E+0
:DISPLAY:CLOCK TIMEONLY
:DISPLAY:FORMAT YT
:DISPLAY:GRATICULE FULL
:DISPLAY:INTENSITY:WAVEFORM 40
:DISPLAY:INTENSITY:GRATICULE 20
:DISPLAY:INTENSITY:BACKLIGHT MEDIUM
:DISPLAY:INTENSITY:GLITCH 0
:DISPLAY:GLITCH 0
:DISPLAY:DIGITAL:HEIGHT MEDIUM
:FILTERVU:FREQUENCY 100000000
:HARDCOPY:INKSAVER 1
:HARDCOPY:LAYOUT LANDSCAPE
:HARDCOPY:PREVIEW 0
:PICTBRIDGE:PAPERSIZE DEFLT
:PICTBRIDGE:IMAGESIZE DEFLT
:PICTBRIDGE:PAPERTYPE DEFLT
:PICTBRIDGE:PRINTQUAL DEFLT
:PICTBRIDGE:DATEPRINT DEFLT
:PICTBRIDGE:IDPRINT OFF
:SAVE:IMAGE:LAYOUT LANDSCAPE
:SAVE:IMAGE:FILEFORMAT BMP
:SAVE:IMAGE:INKSAVER 0
:SAVE:WAVEFORM:FILEFORMAT SPREADSHEET
:SAVE:WAVEFORM:GATING NONE
:SAVE:WAVEFORM:SPREADSHEET:RESOLUTION FULL
:SAVE:ASSIGN:TYPE IMAGE
:D0:THRESHOLD 1.2600
:D1:THRESHOLD 1.2600
:D2:THRESHOLD 1.2600
:D3:THRESHOLD 1.2600
:D4:THRESHOLD 1.2600
:D5:THRESHOLD 1.2600
:D6:THRESHOLD 1.2600
:D7:THRESHOLD 1.2600
:D8:THRESHOLD 1.2600
:D9:THRESHOLD 1.2600
:D10:THRESHOLD 1.2600
:D11:THRESHOLD 1.2600
:D12:THRESHOLD 1.2600
:D13:THRESHOLD 1.2600
:D14:THRESHOLD 1.2600
:D15:THRESHOLD 1.2600
:D0:POSITION 60.0000E-3
:D1:POSITION 60.0000E-3
:D2:POSITION 60.0000E-3
:D3:POSITION 60.0000E-3
:D4:POSITION -3.4400
:D5:POSITION -2.9400
:D6:POSITION -2.4400
:D7:POSITION -1.9400
:D8:POSITION -440.0000E-3
:D9:POSITION 60.0000E-3
:D10:POSITION 560.0000E-3
:D11:POSITION 1.0600
:D12:POSITION 2.0600
:D13:POSITION 2.5600
:D14:POSITION 3.0600
:D15:POSITION 3.5600
:D0:LABEL ""
:D1:LABEL ""
:D2:LABEL ""
:D3:LABEL ""
:D4:LABEL "s_do_valid"
:D5:LABEL "s_di_req"
:D6:LABEL "s_wr_ack"
:D7:LABEL "s_wren"
:D8:LABEL "m_do_valid"
:D9:LABEL "m_di_req"
:D10:LABEL "m_wr_ack"
:D11:LABEL "m_wren"
:D12:LABEL "MISO"
:D13:LABEL "MOSI"
:D14:LABEL "SCK"
:D15:LABEL "SSEL"
:HORIZONTAL:POSITION 50.0000
:HORIZONTAL:SCALE 10.0000E-9
:HORIZONTAL:RECORDLENGTH 1000000
:HORIZONTAL:DELAY:MODE 1
:HORIZONTAL:DELAY:TIME 70.0000E-9
:SELECT:CH1 0
:SELECT:CH2 0
:SELECT:CH3 0
:SELECT:CH4 0
:SELECT:MATH 0
:SELECT:REF1 0
:SELECT:REF2 0
:SELECT:D0 0
:SELECT:D1 0
:SELECT:D2 0
:SELECT:D3 0
:SELECT:D4 1
:SELECT:D5 1
:SELECT:D6 1
:SELECT:D7 1
:SELECT:D8 1
:SELECT:D9 1
:SELECT:D10 1
:SELECT:D11 1
:SELECT:D12 1
:SELECT:D13 1
:SELECT:D14 1
:SELECT:D15 1
:SELECT:BUS1 1
:SELECT:BUS2 0
:SELECT:CONTROL D7
:CH1:AMPSVIAVOLTS:ENABLE 0
:CH2:AMPSVIAVOLTS:ENABLE 0
:CH3:AMPSVIAVOLTS:ENABLE 0
:CH4:AMPSVIAVOLTS:ENABLE 0
:CH1:AMPSVIAVOLTS:FACTOR 10.0000
:CH2:AMPSVIAVOLTS:FACTOR 10.0000
:CH3:AMPSVIAVOLTS:FACTOR 10.0000
:CH4:AMPSVIAVOLTS:FACTOR 10.0000
:CH1:PROBE:GAIN 100.0000E-3
:CH2:PROBE:GAIN 100.0000E-3
:CH3:PROBE:GAIN 100.0000E-3
:CH4:PROBE:GAIN 100.0000E-3
:CH1:PROBE:FORCEDRANGE 0.0E+0
:CH2:PROBE:FORCEDRANGE 0.0E+0
:CH3:PROBE:FORCEDRANGE 0.0E+0
:CH4:PROBE:FORCEDRANGE 0.0E+0
:CH1:BANDWIDTH 20.0000E+6
:CH2:BANDWIDTH 100.0000E+6
:CH3:BANDWIDTH 100.0000E+6
:CH4:BANDWIDTH 100.0000E+6
:CH1:COUPLING DC
:CH2:COUPLING DC
:CH3:COUPLING DC
:CH4:COUPLING DC
:CH1:DESKEW 0.0E+0
:CH2:DESKEW 0.0E+0
:CH3:DESKEW 0.0E+0
:CH4:DESKEW 0.0E+0
:CH1:OFFSET 143.2000E-3
:CH2:OFFSET 0.0E+0
:CH3:OFFSET 0.0E+0
:CH4:OFFSET 0.0E+0
:CH1:INVERT 0
:CH2:INVERT 0
:CH3:INVERT 0
:CH4:INVERT 0
:CH1:POSITION -2.4400
:CH2:POSITION 0.0E+0
:CH3:POSITION 0.0E+0
:CH4:POSITION 0.0E+0
:CH1:SCALE 2.0000
:CH2:SCALE 1.0000
:CH3:SCALE 1.0000
:CH4:SCALE 1.0000
:CH1:YUNITS "V"
:CH2:YUNITS "V"
:CH3:YUNITS "V"
:CH4:YUNITS "V"
:CH1:TERMINATION 1.0000E+6
:CH2:TERMINATION 1.0000E+6
:CH3:TERMINATION 1.0000E+6
:CH4:TERMINATION 1.0000E+6
:CH1:LABEL "strb"
:CH2:LABEL ""
:CH3:LABEL ""
:CH4:LABEL ""
:AUXIN:PROBE:GAIN 100.0000E-3
:AUXIN:PROBE:FORCEDRANGE 0.0E+0
:REF1:VERTICAL:POSITION 0.0E+0
:REF2:VERTICAL:POSITION 0.0E+0
:REF1:VERTICAL:SCALE 100.0000E-3
:REF2:VERTICAL:SCALE 100.0000E-3
:REF1:HORIZONTAL:DELAY:TIME -20.0000E-6
:REF2:HORIZONTAL:DELAY:TIME -20.0000E-6
:REF1:HORIZONTAL:SCALE 4.0000E-6
:REF2:HORIZONTAL:SCALE 4.0000E-6
:MATH:TYPE DUAL
:MATH:DEFINE "CH1+CH2"
:MATH:VERTICAL:SCALE 100.0000E-3
:MATH:VERTICAL:POSITION 0.0E+0
:MATH:VERTICAL:UNITS "V"
:MATH:HORIZONTAL:SCALE 10.0000E-6
:MATH:HORIZONTAL:POSITION 50.0200
:MATH:HORIZONTAL:UNITS "s"
:MATH:SPECTRAL:MAG DB
:MATH:SPECTRAL:WINDOW HANNING
:MATH:SPECTRAL:GATING:INDICATORS 0
:MATH:LABEL ""
:TRIGGER:A:MODE NORMAL
:TRIGGER:A:TYPE EDGE
:TRIGGER:A:LEVEL 1.2600
:TRIGGER:A:LEVEL:CH1 160.0000E-3
:TRIGGER:A:LEVEL:CH2 0.0E+0
:TRIGGER:A:LEVEL:CH3 0.0E+0
:TRIGGER:A:LEVEL:CH4 0.0E+0
:TRIGGER:A:LEVEL:AUXIN 0.0E+0
:TRIGGER:A:LEVEL:D0 1.2600
:TRIGGER:A:LEVEL:D1 1.2600
:TRIGGER:A:LEVEL:D2 1.2600
:TRIGGER:A:LEVEL:D3 1.2600
:TRIGGER:A:LEVEL:D4 1.2600
:TRIGGER:A:LEVEL:D5 1.2600
:TRIGGER:A:LEVEL:D6 1.2600
:TRIGGER:A:LEVEL:D7 1.2600
:TRIGGER:A:LEVEL:D8 1.2600
:TRIGGER:A:LEVEL:D9 1.2600
:TRIGGER:A:LEVEL:D10 1.2600
:TRIGGER:A:LEVEL:D11 1.2600
:TRIGGER:A:LEVEL:D12 1.2600
:TRIGGER:A:LEVEL:D13 1.2600
:TRIGGER:A:LEVEL:D14 1.2600
:TRIGGER:A:LEVEL:D15 1.2600
:TRIGGER:A:UPPERTHRESHOLD:CH1 240.0000E-3
:TRIGGER:A:UPPERTHRESHOLD:CH2 1.4000
:TRIGGER:A:UPPERTHRESHOLD:CH3 1.4000
:TRIGGER:A:UPPERTHRESHOLD:CH4 1.4000
:TRIGGER:A:LOWERTHRESHOLD:CH1 160.0000E-3
:TRIGGER:A:LOWERTHRESHOLD:CH2 0.0E+0
:TRIGGER:A:LOWERTHRESHOLD:CH3 0.0E+0
:TRIGGER:A:LOWERTHRESHOLD:CH4 0.0E+0
:TRIGGER:A:LOWERTHRESHOLD:EXT 0.0E+0
:TRIGGER:A:LOWERTHRESHOLD:D0 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D1 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D2 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D3 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D4 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D5 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D6 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D7 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D8 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D9 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D10 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D11 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D12 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D13 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D14 1.2600
:TRIGGER:A:LOWERTHRESHOLD:D15 1.2600
:TRIGGER:A:HOLDOFF:TIME 337.4240E-6
:TRIGGER:A:EDGE:SOURCE D15
:TRIGGER:A:EDGE:COUPLING DC
:TRIGGER:A:EDGE:SLOPE FALL
:TRIGGER:A:LOGIC:CLASS LOGIC
:TRIGGER:A:LOGIC:FUNCTION AND
:TRIGGER:A:LOGIC:THRESHOLD:CH1 160.0000E-3
:TRIGGER:A:LOGIC:THRESHOLD:CH2 0.0E+0
:TRIGGER:A:LOGIC:THRESHOLD:CH3 0.0E+0
:TRIGGER:A:LOGIC:THRESHOLD:CH4 0.0E+0
:TRIGGER:A:LOGIC:THRESHOLD:D0 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D1 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D2 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D3 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D4 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D5 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D6 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D7 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D8 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D9 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D10 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D11 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D12 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D13 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D14 1.2600
:TRIGGER:A:LOGIC:THRESHOLD:D15 1.2600
:TRIGGER:A:LOGIC:INPUT:CH1 X
:TRIGGER:A:LOGIC:INPUT:CH2 X
:TRIGGER:A:LOGIC:INPUT:CH3 X
:TRIGGER:A:LOGIC:INPUT:CH4 X
:TRIGGER:A:LOGIC:INPUT:CLOCK:SOURCE NONE
:TRIGGER:A:LOGIC:INPUT:CLOCK:EDGE RISE
:TRIGGER:A:LOGIC:INPUT:D0 X
:TRIGGER:A:LOGIC:INPUT:D1 X
:TRIGGER:A:LOGIC:INPUT:D2 X
:TRIGGER:A:LOGIC:INPUT:D3 X
:TRIGGER:A:LOGIC:INPUT:D4 X
:TRIGGER:A:LOGIC:INPUT:D5 X
:TRIGGER:A:LOGIC:INPUT:D6 X
:TRIGGER:A:LOGIC:INPUT:D7 X
:TRIGGER:A:LOGIC:INPUT:D8 X
:TRIGGER:A:LOGIC:INPUT:D9 X
:TRIGGER:A:LOGIC:INPUT:D10 X
:TRIGGER:A:LOGIC:INPUT:D11 X
:TRIGGER:A:LOGIC:INPUT:D12 X
:TRIGGER:A:LOGIC:INPUT:D13 X
:TRIGGER:A:LOGIC:INPUT:D14 X
:TRIGGER:A:LOGIC:INPUT:D15 X
:TRIGGER:A:LOGIC:PATTERN:WHEN TRUE
:TRIGGER:A:LOGIC:PATTERN:WHEN:LESSLIMIT 8.0000E-9
:TRIGGER:A:LOGIC:PATTERN:WHEN:MORELIMIT 8.0000E-9
:TRIGGER:A:LOGIC:PATTERN:DELTATIME 8.0000E-9
:TRIGGER:A:SETHOLD:CLOCK:SOURCE CH1
:TRIGGER:A:SETHOLD:CLOCK:EDGE RISE
:TRIGGER:A:SETHOLD:CLOCK:THRESHOLD 160.0000E-3
:TRIGGER:A:SETHOLD:DATA:SOURCE NONE
:TRIGGER:A:SETHOLD:DATA:THRESHOLD 9.9100E+37
:TRIGGER:A:SETHOLD:HOLDTIME 2.0000E-9
:TRIGGER:A:SETHOLD:SETTIME 2.0000E-9
:TRIGGER:A:SETHOLD:THRESHOLD:CH1 160.0000E-3
:TRIGGER:A:SETHOLD:THRESHOLD:CH2 0.0E+0
:TRIGGER:A:SETHOLD:THRESHOLD:CH3 0.0E+0
:TRIGGER:A:SETHOLD:THRESHOLD:CH4 0.0E+0
:TRIGGER:A:SETHOLD:THRESHOLD:D0 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D1 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D2 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D3 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D4 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D5 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D6 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D7 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D8 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D9 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D10 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D11 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D12 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D13 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D14 1.2600
:TRIGGER:A:SETHOLD:THRESHOLD:D15 1.2600
:TRIGGER:A:PULSE:CLASS WIDTH
:TRIGGER:A:PULSEWIDTH:POLARITY POSITIVE
:TRIGGER:A:PULSEWIDTH:WHEN MORETHAN
:TRIGGER:A:PULSEWIDTH:WIDTH 95.0340E-6
:TRIGGER:A:RUNT:POLARITY POSITIVE
:TRIGGER:A:RUNT:WHEN OCCURS
:TRIGGER:A:RUNT:WIDTH 95.0340E-6
:TRIGGER:A:TRANSITION:POLARITY POSITIVE
:TRIGGER:A:TRANSITION:WHEN SLOWER
:TRIGGER:A:TRANSITION:DELTATIME 95.0340E-6
:TRIGGER:A:VIDEO:STANDARD NTSC
:TRIGGER:A:VIDEO:SYNC ALLLINES
:TRIGGER:A:VIDEO:LINE 1
:TRIGGER:A:VIDEO:HOLDOFF:FIELD 0.0E+0
:TRIGGER:A:VIDEO:POLARITY POSITIVE
:TRIGGER:A:BUS:SOURCE B1
:TRIGGER:A:BUS:B1:RS232C:CONDITION TXSTART
:TRIGGER:A:BUS:B2:RS232C:CONDITION TXSTART
:TRIGGER:A:BUS:B1:RS232C:RX:DATA:SIZE 1
:TRIGGER:A:BUS:B2:RS232C:RX:DATA:SIZE 1
:TRIGGER:A:BUS:B1:RS232C:RX:DATA:VALUE "XXXXXXXX"
:TRIGGER:A:BUS:B2:RS232C:RX:DATA:VALUE "XXXXXXXX"
:TRIGGER:A:BUS:B1:RS232C:TX:DATA:SIZE 1
:TRIGGER:A:BUS:B2:RS232C:TX:DATA:SIZE 1
:TRIGGER:A:BUS:B1:RS232C:TX:DATA:VALUE "XXXXXXXX"
:TRIGGER:A:BUS:B2:RS232C:TX:DATA:VALUE "XXXXXXXX"
:TRIGGER:A:BUS:B1:PARALLEL:VALUE "XXXX"
:TRIGGER:A:BUS:B2:PARALLEL:VALUE "XXXX"
:TRIGGER:EXTERNAL:PROBE 10.0000
:BUS:B1:RS232C:PARITY NONE
:BUS:B2:RS232C:PARITY NONE
:BUS:B1:RS232C:BITRATE 9600
:BUS:B2:RS232C:BITRATE 9600
:BUS:B1:RS232C:POLARITY NORMAL
:BUS:B2:RS232C:POLARITY NORMAL
:BUS:B1:RS232C:DATABITS 8
:BUS:B2:RS232C:DATABITS 8
:BUS:B1:RS232C:TX:SOURCE CH1
:BUS:B2:RS232C:TX:SOURCE CH1
:BUS:B1:RS232C:RX:SOURCE D12
:BUS:B2:RS232C:RX:SOURCE OFF
:BUS:B1:RS232C:DISPLAYMODE FRAME
:BUS:B2:RS232C:DISPLAYMODE FRAME
:BUS:B1:RS232C:DELIMITER LF
:BUS:B2:RS232C:DELIMITER LF
:BUS:B1:STATE 1
:BUS:B2:STATE 0
:BUS:B1:TYPE PARALLEL
:BUS:B2:TYPE PARALLEL
:BUS:B1:POSITION -940.0000E-3
:BUS:B2:POSITION 600.0000E-3
:BUS:B1:DISPLAY:TYPE BUS
:BUS:B2:DISPLAY:TYPE BUS
:BUS:B1:DISPLAY:FORMAT HEXADECIMAL
:BUS:B2:DISPLAY:FORMAT HEXADECIMAL
:BUS:B1:LABEL "state_s"
:BUS:B2:LABEL "ST_S"
:BUS:B1:PARALLEL:WIDTH 4
:BUS:B2:PARALLEL:WIDTH 4
:BUS:B1:PARALLEL:CLOCK:ISCLOCKED NO
:BUS:B2:PARALLEL:CLOCK:ISCLOCKED NO
:BUS:B1:PARALLEL:CLOCK:SOURCE D15
:BUS:B2:PARALLEL:CLOCK:SOURCE D15
:BUS:B1:PARALLEL:CLOCK:EDGE RISING
:BUS:B2:PARALLEL:CLOCK:EDGE RISING
:BUS:B1:PARALLEL:BIT0:SOURCE D0
:BUS:B1:PARALLEL:BIT1:SOURCE D1
:BUS:B1:PARALLEL:BIT2:SOURCE D2
:BUS:B1:PARALLEL:BIT3:SOURCE D3
:BUS:B1:PARALLEL:BIT4:SOURCE D4
:BUS:B1:PARALLEL:BIT5:SOURCE D5
:BUS:B1:PARALLEL:BIT6:SOURCE D6
:BUS:B1:PARALLEL:BIT7:SOURCE D7
:BUS:B1:PARALLEL:BIT8:SOURCE D8
:BUS:B1:PARALLEL:BIT9:SOURCE D9
:BUS:B1:PARALLEL:BIT10:SOURCE D10
:BUS:B1:PARALLEL:BIT11:SOURCE D11
:BUS:B1:PARALLEL:BIT12:SOURCE D12
:BUS:B1:PARALLEL:BIT13:SOURCE D13
:BUS:B1:PARALLEL:BIT14:SOURCE D14
:BUS:B1:PARALLEL:BIT15:SOURCE D15
:BUS:B1:PARALLEL:BIT16:SOURCE CH1
:BUS:B1:PARALLEL:BIT17:SOURCE CH2
:BUS:B1:PARALLEL:BIT18:SOURCE CH3
:BUS:B1:PARALLEL:BIT19:SOURCE CH4
:BUS:B2:PARALLEL:BIT0:SOURCE D8
:BUS:B2:PARALLEL:BIT1:SOURCE D9
:BUS:B2:PARALLEL:BIT2:SOURCE D10
:BUS:B2:PARALLEL:BIT3:SOURCE D11
:BUS:B2:PARALLEL:BIT4:SOURCE D10
:BUS:B2:PARALLEL:BIT5:SOURCE D11
:BUS:B2:PARALLEL:BIT6:SOURCE D6
:BUS:B2:PARALLEL:BIT7:SOURCE D7
:BUS:B2:PARALLEL:BIT8:SOURCE D8
:BUS:B2:PARALLEL:BIT9:SOURCE D9
:BUS:B2:PARALLEL:BIT10:SOURCE D10
:BUS:B2:PARALLEL:BIT11:SOURCE D11
:BUS:B2:PARALLEL:BIT12:SOURCE D12
:BUS:B2:PARALLEL:BIT13:SOURCE D13
:BUS:B2:PARALLEL:BIT14:SOURCE D14
:BUS:B2:PARALLEL:BIT15:SOURCE D15
:BUS:B2:PARALLEL:BIT16:SOURCE CH1
:BUS:B2:PARALLEL:BIT17:SOURCE CH2
:BUS:B2:PARALLEL:BIT18:SOURCE CH3
:BUS:B2:PARALLEL:BIT19:SOURCE CH4
:BUS:LOWERTHRESHOLD:CH1 160.0000E-3
:BUS:LOWERTHRESHOLD:CH2 0.0E+0
:BUS:LOWERTHRESHOLD:CH3 0.0E+0
:BUS:LOWERTHRESHOLD:CH4 0.0E+0
:BUS:UPPERTHRESHOLD:CH1 240.0000E-3
:BUS:UPPERTHRESHOLD:CH2 1.4000
:BUS:UPPERTHRESHOLD:CH3 1.4000
:BUS:UPPERTHRESHOLD:CH4 1.4000
:SEARCH:SEARCH1:TRIGGER:A:BUS:B1:RS232C:CONDITION TXSTART
:SEARCH:SEARCH1:TRIGGER:A:BUS:B2:RS232C:CONDITION TXSTART
:SEARCH:SEARCH1:TRIGGER:A:BUS:B1:RS232C:RX:DATA:SIZE 1
:SEARCH:SEARCH1:TRIGGER:A:BUS:B2:RS232C:RX:DATA:SIZE 1
:SEARCH:SEARCH1:TRIGGER:A:BUS:B1:RS232C:RX:DATA:VALUE "XXXXXXXX"
:SEARCH:SEARCH1:TRIGGER:A:BUS:B2:RS232C:RX:DATA:VALUE "XXXXXXXX"
:SEARCH:SEARCH1:TRIGGER:A:BUS:B1:RS232C:TX:DATA:SIZE 1
:SEARCH:SEARCH1:TRIGGER:A:BUS:B2:RS232C:TX:DATA:SIZE 1
:SEARCH:SEARCH1:TRIGGER:A:BUS:B1:RS232C:TX:DATA:VALUE "XXXXXXXX"
:SEARCH:SEARCH1:TRIGGER:A:BUS:B2:RS232C:TX:DATA:VALUE "XXXXXXXX"
:SEARCH:SEARCH1:TRIGGER:A:BUS:B1:PARALLEL:VALUE "XXXX"
:SEARCH:SEARCH1:TRIGGER:A:BUS:B2:PARALLEL:VALUE "XXXX"
:SEARCH:SEARCH1:TRIGGER:A:BUS:SOURCE B1
:SEARCH:SEARCH1:TRIGGER:A:TYPE EDGE
:SEARCH:SEARCH1:TRIGGER:A:LEVEL 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LEVEL:CH1 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LEVEL:CH2 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LEVEL:CH3 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LEVEL:CH4 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LEVEL:MATH 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LEVEL:REF1 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LEVEL:REF2 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:UPPERTHRESHOLD:CH1 1.4400
:SEARCH:SEARCH1:TRIGGER:A:UPPERTHRESHOLD:CH2 1.4000
:SEARCH:SEARCH1:TRIGGER:A:UPPERTHRESHOLD:CH3 1.4000
:SEARCH:SEARCH1:TRIGGER:A:UPPERTHRESHOLD:CH4 1.4000
:SEARCH:SEARCH1:TRIGGER:A:UPPERTHRESHOLD:MATH 492.0000E-3
:SEARCH:SEARCH1:TRIGGER:A:UPPERTHRESHOLD:REF1 492.0000E-3
:SEARCH:SEARCH1:TRIGGER:A:UPPERTHRESHOLD:REF2 492.0000E-3
:SEARCH:SEARCH1:TRIGGER:A:LOWERTHRESHOLD:CH1 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LOWERTHRESHOLD:CH2 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LOWERTHRESHOLD:CH3 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LOWERTHRESHOLD:CH4 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LOWERTHRESHOLD:MATH 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LOWERTHRESHOLD:REF1 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LOWERTHRESHOLD:REF2 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:EDGE:SOURCE D8
:SEARCH:SEARCH1:TRIGGER:A:EDGE:SLOPE FALL
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:FUNCTION AND
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:THRESHOLD:CH1 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:THRESHOLD:CH2 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:THRESHOLD:CH3 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:THRESHOLD:CH4 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:THRESHOLD:MATH 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:THRESHOLD:REF1 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:THRESHOLD:REF2 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:CH1 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:CH2 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:CH3 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:CH4 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:MATH X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:REF1 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:REF2 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:REF3 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:REF4 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:CLOCK:SOURCE NONE
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:CLOCK:EDGE RISE
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D0 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D1 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D2 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D3 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D4 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D5 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D6 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D7 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D8 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D9 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D10 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D11 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D12 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D13 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D14 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:INPUT:D15 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:CH1 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:CH2 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:CH3 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:CH4 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:MATH X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:REF1 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:REF2 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:REF3 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:REF4 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D0 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D1 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D2 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D3 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D4 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D5 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D6 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D7 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D8 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D9 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D10 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D11 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D12 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D13 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D14 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:INPUT:D15 X
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:WHEN TRUE
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:WHEN:LESSLIMIT 8.0000E-9
:SEARCH:SEARCH1:TRIGGER:A:LOGIC:PATTERN:WHEN:MORELIMIT 8.0000E-9
:SEARCH:SEARCH1:TRIGGER:A:PULSEWIDTH:POLARITY POSITIVE
:SEARCH:SEARCH1:TRIGGER:A:PULSEWIDTH:WHEN LESSTHAN
:SEARCH:SEARCH1:TRIGGER:A:PULSEWIDTH:WIDTH 8.0000E-9
:SEARCH:SEARCH1:TRIGGER:A:RUNT:POLARITY POSITIVE
:SEARCH:SEARCH1:TRIGGER:A:RUNT:WHEN OCCURS
:SEARCH:SEARCH1:TRIGGER:A:RUNT:WIDTH 8.0000E-9
:SEARCH:SEARCH1:TRIGGER:A:TRANSITION:POLARITY POSITIVE
:SEARCH:SEARCH1:TRIGGER:A:TRANSITION:WHEN SLOWER
:SEARCH:SEARCH1:TRIGGER:A:TRANSITION:DELTATIME 8.0000E-9
:SEARCH:SEARCH1:TRIGGER:A:SETHOLD:CLOCK:SOURCE CH1
:SEARCH:SEARCH1:TRIGGER:A:SETHOLD:CLOCK:EDGE RISE
:SEARCH:SEARCH1:TRIGGER:A:SETHOLD:CLOCK:THRESHOLD 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:SETHOLD:DATA:SOURCE NONE
:SEARCH:SEARCH1:TRIGGER:A:SETHOLD:DATA:THRESHOLD 9.9100E+37
:SEARCH:SEARCH1:TRIGGER:A:SETHOLD:HOLDTIME 2.0000E-9
:SEARCH:SEARCH1:TRIGGER:A:SETHOLD:SETTIME 2.0000E-9
:SEARCH:SEARCH1:TRIGGER:A:SETHOLD:THRESHOLD:CH1 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:SETHOLD:THRESHOLD:CH2 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:SETHOLD:THRESHOLD:CH3 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:SETHOLD:THRESHOLD:CH4 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:SETHOLD:THRESHOLD:MATH 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:SETHOLD:THRESHOLD:REF1 0.0E+0
:SEARCH:SEARCH1:TRIGGER:A:SETHOLD:THRESHOLD:REF2 0.0E+0
:SEARCH:SEARCH1:STATE 0
:ZOOM:MODE 0
:ZOOM:ZOOM1:STATE 1
:ZOOM:ZOOM1:SCALE 4.0000E-9
:ZOOM:ZOOM1:POSITION 50.1000
:ZOOM:ZOOM1:HORIZONTAL:POSITION 50.1000
:ZOOM:ZOOM1:HORIZONTAL:SCALE 4.0000E-9
:CURSOR:FUNCTION WAVEFORM
:CURSOR:MODE TRACK
:CURSOR:VBARS:POSITION1 60E-9
:CURSOR:VBARS:POSITION2 61.9200000000075E-9
:CURSOR:VBARS:UNITS SECONDS
:CURSOR:HBARS:POSITION1 0.0E+0
:CURSOR:HBARS:POSITION2 0.0E+0
:CURSOR:HBARS:UNITS BASE
:CURSOR:XY:READOUT RECTANGULAR
:CURSOR:XY:RECTANGULAR:X:POSITION1 0.0E+0
:CURSOR:XY:RECTANGULAR:X:POSITION2 0.0E+0
:CURSOR:XY:RECTANGULAR:Y:POSITION1 0.0E+0
:CURSOR:XY:RECTANGULAR:Y:POSITION2 0.0E+0
:MEASUREMENT:IMMED:DELAY:DIRECTION FORWARDS
:MEASUREMENT:IMMED:DELAY:EDGE1 RISE
:MEASUREMENT:IMMED:DELAY:EDGE2 RISE
:MEASUREMENT:IMMED:TYPE PERIOD
:MEASUREMENT:IMMED:SOURCE1 CH1
:MEASUREMENT:IMMED:SOURCE2 CH2
:MEASUREMENT:MEAS1:DELAY:DIRECTION FORWARDS
:MEASUREMENT:MEAS2:DELAY:DIRECTION FORWARDS
:MEASUREMENT:MEAS3:DELAY:DIRECTION FORWARDS
:MEASUREMENT:MEAS4:DELAY:DIRECTION FORWARDS
:MEASUREMENT:MEAS1:DELAY:EDGE1 RISE
:MEASUREMENT:MEAS1:DELAY:EDGE2 RISE
:MEASUREMENT:MEAS2:DELAY:EDGE1 RISE
:MEASUREMENT:MEAS2:DELAY:EDGE2 RISE
:MEASUREMENT:MEAS3:DELAY:EDGE1 RISE
:MEASUREMENT:MEAS3:DELAY:EDGE2 RISE
:MEASUREMENT:MEAS4:DELAY:EDGE1 RISE
:MEASUREMENT:MEAS4:DELAY:EDGE2 RISE
:MEASUREMENT:MEAS1:TYPE FREQUENCY
:MEASUREMENT:MEAS2:TYPE PERIOD
:MEASUREMENT:MEAS3:TYPE PERIOD
:MEASUREMENT:MEAS4:TYPE PERIOD
:MEASUREMENT:MEAS1:SOURCE1 D14
:MEASUREMENT:MEAS1:SOURCE2 CH2
:MEASUREMENT:MEAS2:SOURCE1 CH1
:MEASUREMENT:MEAS2:SOURCE2 CH2
:MEASUREMENT:MEAS3:SOURCE1 CH1
:MEASUREMENT:MEAS3:SOURCE2 CH2
+o²Út‚ ÚK_ÌnØÖiÞ£gl‘Ï8ï“ÞIgż2:´«6å„Às 3(ˆv â­jôð ¸Þk’2p‰Ì¿ùZ­p/îÄç¬aÕiþ4f6Å“©Íè’| kPÕÆÉxÒî@6¥úùŒÌÑ¼Ò ¶ðŸè®[ñðá¬õ•° Ñ™šÀÍåÓxœ×¹ƒú—éä¶ÑÍ@Ü꽺‰j‡ŠãMÚ8Ôi›¹—Ø$ö<ÜÚP²ÑŸ¢Oq—Ú ¢·‰@´ ¹4?ðÜñP»5zJæÊ&]I6Ti¯ŸZôD·ÑŠx”&b°Ç.d¨y²-#X<κ›®p-ŽºJ×e¥PGÄþ“Þë´Eî£ö‘õ3ÍåÅ +8x@aHà—1L^oü<:GÙŽÇN’áœ]‰É3aH•.ƒFõEØX ¶Afѳ×X”zÚ ß|)`ãºùâÇ5lÛ SGྨ}¶#'8¶êc†)öðï)OXãóÖüA <·òÈWù'ß|E©`@D ©Lw˜‘„\-\f¨œŸKÕ~¦(š?“^â 守 1…ÖVnÌã£õ@à¨ÙT'®ÂØ@& jM9ýÞ,Mµ÷"´ÆÅÆí9´ "UbœcD \ No newline at end of file
/spi_master_slave/trunk/syn/spi_master_atlys_top_bit.zip Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/spi_master_slave/trunk/syn/spi_master_atlys_top_map.psr
30,11 → 30,11
=========================================================================
---- Statistics
Number of SRLs added by SRL Inferencing | 4
Number of LUTs removed by SmartOpt Trimming | 463
Number of LUTs removed by SmartOpt Trimming | 511
Number of registers removed by SRL Inferencing | 8
Number of registers removed by Equivalence Removal | 4
 
Overall change in number of design objects | -471
Overall change in number of design objects | -519
 
 
---- Details
61,469 → 61,517
Inst_spi_slave_port/state_reg_1_1 | Equivalence Removal
Inst_spi_slave_port/state_reg_2_1 | Equivalence Removal
Inst_spi_slave_port/state_reg_2_2 | Equivalence Removal
][100_9 | SmartOpt Trimming
][104_10 | SmartOpt Trimming
][111_11 | SmartOpt Trimming
][118_12 | SmartOpt Trimming
][125_13 | SmartOpt Trimming
][132_14 | SmartOpt Trimming
][139_15 | SmartOpt Trimming
][148_16 | SmartOpt Trimming
][152_17 | SmartOpt Trimming
][156_18 | SmartOpt Trimming
][163_19 | SmartOpt Trimming
][170_20 | SmartOpt Trimming
][177_21 | SmartOpt Trimming
][184_22 | SmartOpt Trimming
][191_23 | SmartOpt Trimming
][205_26 | SmartOpt Trimming
][214_42 | SmartOpt Trimming
][218_47 | SmartOpt Trimming
][222_52 | SmartOpt Trimming
][226_57 | SmartOpt Trimming
][230_62 | SmartOpt Trimming
][234_67 | SmartOpt Trimming
][238_72 | SmartOpt Trimming
][246_85 | SmartOpt Trimming
][250_90 | SmartOpt Trimming
][254_95 | SmartOpt Trimming
][258_100 | SmartOpt Trimming
][262_105 | SmartOpt Trimming
][406_203 | SmartOpt Trimming
][410_208 | SmartOpt Trimming
][414_213 | SmartOpt Trimming
][418_218 | SmartOpt Trimming
][422_223 | SmartOpt Trimming
][426_228 | SmartOpt Trimming
][430_233 | SmartOpt Trimming
][450_251 | SmartOpt Trimming
][454_255 | SmartOpt Trimming
][458_258 | SmartOpt Trimming
][462_262 | SmartOpt Trimming
][466_266 | SmartOpt Trimming
][470_270 | SmartOpt Trimming
][696_424 | SmartOpt Trimming
][701_427 | SmartOpt Trimming
][706_430 | SmartOpt Trimming
][722_442 | SmartOpt Trimming
][726_446 | SmartOpt Trimming
][730_451 | SmartOpt Trimming
][734_455 | SmartOpt Trimming
][737_456 | SmartOpt Trimming
][814_514 | SmartOpt Trimming
][820_519 | SmartOpt Trimming
][823_522 | SmartOpt Trimming
][826_525 | SmartOpt Trimming
][832_530 | SmartOpt Trimming
][96_8 | SmartOpt Trimming
][const_100_156 | SmartOpt Trimming
][const_101_157 | SmartOpt Trimming
][const_102_158 | SmartOpt Trimming
][const_103_159 | SmartOpt Trimming
][const_104_160 | SmartOpt Trimming
][const_105_161 | SmartOpt Trimming
][const_106_162 | SmartOpt Trimming
][const_107_163 | SmartOpt Trimming
][const_108_166 | SmartOpt Trimming
][const_109_167 | SmartOpt Trimming
][const_110_171 | SmartOpt Trimming
][const_111_172 | SmartOpt Trimming
][const_112_174 | SmartOpt Trimming
][const_113_175 | SmartOpt Trimming
][const_114_177 | SmartOpt Trimming
][const_115_178 | SmartOpt Trimming
][const_116_180 | SmartOpt Trimming
][const_117_181 | SmartOpt Trimming
][const_118_184 | SmartOpt Trimming
][const_119_185 | SmartOpt Trimming
][const_120_187 | SmartOpt Trimming
][const_121_188 | SmartOpt Trimming
][const_122_191 | SmartOpt Trimming
][const_123_192 | SmartOpt Trimming
][const_124_195 | SmartOpt Trimming
][const_125_196 | SmartOpt Trimming
][const_126_201 | SmartOpt Trimming
][const_127_202 | SmartOpt Trimming
][const_128_206 | SmartOpt Trimming
][const_129_207 | SmartOpt Trimming
][const_130_211 | SmartOpt Trimming
][const_131_212 | SmartOpt Trimming
][const_132_216 | SmartOpt Trimming
][const_133_217 | SmartOpt Trimming
][const_134_221 | SmartOpt Trimming
][const_135_222 | SmartOpt Trimming
][const_136_226 | SmartOpt Trimming
][const_137_227 | SmartOpt Trimming
][const_138_231 | SmartOpt Trimming
][const_139_232 | SmartOpt Trimming
][const_140_238 | SmartOpt Trimming
][const_142_240 | SmartOpt Trimming
][const_144_244 | SmartOpt Trimming
][const_146_249 | SmartOpt Trimming
][const_148_252 | SmartOpt Trimming
][const_150_256 | SmartOpt Trimming
][const_152_259 | SmartOpt Trimming
][const_154_263 | SmartOpt Trimming
][const_156_267 | SmartOpt Trimming
][const_158_271 | SmartOpt Trimming
][const_160_272 | SmartOpt Trimming
][const_161_273 | SmartOpt Trimming
][const_162_276 | SmartOpt Trimming
][const_163_277 | SmartOpt Trimming
][const_164_278 | SmartOpt Trimming
][const_165_279 | SmartOpt Trimming
][const_166_280 | SmartOpt Trimming
][const_167_281 | SmartOpt Trimming
][const_168_282 | SmartOpt Trimming
][const_169_283 | SmartOpt Trimming
][const_170_284 | SmartOpt Trimming
][const_171_285 | SmartOpt Trimming
][const_172_286 | SmartOpt Trimming
][const_173_287 | SmartOpt Trimming
][const_174_288 | SmartOpt Trimming
][const_175_289 | SmartOpt Trimming
][const_176_290 | SmartOpt Trimming
][const_177_291 | SmartOpt Trimming
][const_179_292 | SmartOpt Trimming
][const_180_293 | SmartOpt Trimming
][const_182_294 | SmartOpt Trimming
][const_183_295 | SmartOpt Trimming
][const_185_296 | SmartOpt Trimming
][const_186_297 | SmartOpt Trimming
][const_188_298 | SmartOpt Trimming
][const_189_299 | SmartOpt Trimming
][const_191_300 | SmartOpt Trimming
][const_192_301 | SmartOpt Trimming
][const_194_302 | SmartOpt Trimming
][const_195_303 | SmartOpt Trimming
][const_197_304 | SmartOpt Trimming
][const_198_305 | SmartOpt Trimming
][const_200_306 | SmartOpt Trimming
][const_201_307 | SmartOpt Trimming
][const_203_308 | SmartOpt Trimming
][const_204_309 | SmartOpt Trimming
][const_206_310 | SmartOpt Trimming
][const_207_311 | SmartOpt Trimming
][const_209_312 | SmartOpt Trimming
][const_210_313 | SmartOpt Trimming
][const_212_314 | SmartOpt Trimming
][const_213_315 | SmartOpt Trimming
][const_215_316 | SmartOpt Trimming
][const_216_317 | SmartOpt Trimming
][const_218_318 | SmartOpt Trimming
][const_219_319 | SmartOpt Trimming
][const_221_320 | SmartOpt Trimming
][const_222_321 | SmartOpt Trimming
][const_224_322 | SmartOpt Trimming
][const_225_323 | SmartOpt Trimming
][const_226_326 | SmartOpt Trimming
][const_227_327 | SmartOpt Trimming
][const_228_328 | SmartOpt Trimming
][const_229_329 | SmartOpt Trimming
][const_230_330 | SmartOpt Trimming
][const_231_331 | SmartOpt Trimming
][const_232_332 | SmartOpt Trimming
][const_233_333 | SmartOpt Trimming
][const_234_334 | SmartOpt Trimming
][const_235_335 | SmartOpt Trimming
][const_236_336 | SmartOpt Trimming
][const_237_337 | SmartOpt Trimming
][const_239_338 | SmartOpt Trimming
][const_240_339 | SmartOpt Trimming
][const_242_340 | SmartOpt Trimming
][const_243_341 | SmartOpt Trimming
][const_245_342 | SmartOpt Trimming
][const_246_343 | SmartOpt Trimming
][const_248_344 | SmartOpt Trimming
][const_249_345 | SmartOpt Trimming
][const_24_24 | SmartOpt Trimming
][const_251_346 | SmartOpt Trimming
][const_252_347 | SmartOpt Trimming
][const_254_348 | SmartOpt Trimming
][const_255_349 | SmartOpt Trimming
][const_257_350 | SmartOpt Trimming
][const_258_351 | SmartOpt Trimming
][const_25_25 | SmartOpt Trimming
][const_260_352 | SmartOpt Trimming
][const_261_353 | SmartOpt Trimming
][const_263_354 | SmartOpt Trimming
][const_264_355 | SmartOpt Trimming
][const_266_356 | SmartOpt Trimming
][const_267_357 | SmartOpt Trimming
][const_269_358 | SmartOpt Trimming
][const_26_27 | SmartOpt Trimming
][const_270_359 | SmartOpt Trimming
][const_272_360 | SmartOpt Trimming
][const_273_361 | SmartOpt Trimming
][const_274_363 | SmartOpt Trimming
][const_275_364 | SmartOpt Trimming
][const_276_365 | SmartOpt Trimming
][const_277_366 | SmartOpt Trimming
][const_278_367 | SmartOpt Trimming
][const_279_368 | SmartOpt Trimming
][const_27_28 | SmartOpt Trimming
][const_280_369 | SmartOpt Trimming
][const_281_370 | SmartOpt Trimming
][const_282_371 | SmartOpt Trimming
][const_283_372 | SmartOpt Trimming
][const_284_373 | SmartOpt Trimming
][const_285_374 | SmartOpt Trimming
][const_286_375 | SmartOpt Trimming
][const_287_376 | SmartOpt Trimming
][const_288_379 | SmartOpt Trimming
][const_289_380 | SmartOpt Trimming
][const_28_32 | SmartOpt Trimming
][const_290_384 | SmartOpt Trimming
][const_291_385 | SmartOpt Trimming
][const_292_388 | SmartOpt Trimming
][const_293_389 | SmartOpt Trimming
][const_294_392 | SmartOpt Trimming
][const_295_393 | SmartOpt Trimming
][const_296_396 | SmartOpt Trimming
][const_297_397 | SmartOpt Trimming
][const_298_400 | SmartOpt Trimming
][const_299_401 | SmartOpt Trimming
][const_29_33 | SmartOpt Trimming
][const_300_404 | SmartOpt Trimming
][const_301_405 | SmartOpt Trimming
][const_302_408 | SmartOpt Trimming
][const_303_409 | SmartOpt Trimming
][const_304_410 | SmartOpt Trimming
][const_305_411 | SmartOpt Trimming
][const_307_412 | SmartOpt Trimming
][const_308_413 | SmartOpt Trimming
][const_30_40 | SmartOpt Trimming
][const_310_414 | SmartOpt Trimming
][const_311_415 | SmartOpt Trimming
][const_313_416 | SmartOpt Trimming
][const_314_417 | SmartOpt Trimming
][const_316_419 | SmartOpt Trimming
][const_317_423 | SmartOpt Trimming
][const_318_426 | SmartOpt Trimming
][const_319_429 | SmartOpt Trimming
][const_31_41 | SmartOpt Trimming
][const_320_431 | SmartOpt Trimming
][const_321_432 | SmartOpt Trimming
][const_323_433 | SmartOpt Trimming
][const_324_434 | SmartOpt Trimming
][const_326_435 | SmartOpt Trimming
][const_327_436 | SmartOpt Trimming
][const_329_437 | SmartOpt Trimming
][const_32_45 | SmartOpt Trimming
][const_330_438 | SmartOpt Trimming
][const_332_440 | SmartOpt Trimming
][const_333_441 | SmartOpt Trimming
][const_334_444 | SmartOpt Trimming
][const_335_445 | SmartOpt Trimming
][const_336_449 | SmartOpt Trimming
][const_337_450 | SmartOpt Trimming
][const_338_453 | SmartOpt Trimming
][const_339_454 | SmartOpt Trimming
][const_33_46 | SmartOpt Trimming
][const_340_457 | SmartOpt Trimming
][const_341_458 | SmartOpt Trimming
][const_342_460 | SmartOpt Trimming
][const_343_461 | SmartOpt Trimming
][const_345_463 | SmartOpt Trimming
][const_346_464 | SmartOpt Trimming
][const_348_465 | SmartOpt Trimming
][const_349_466 | SmartOpt Trimming
][const_34_50 | SmartOpt Trimming
][const_350_467 | SmartOpt Trimming
][const_351_468 | SmartOpt Trimming
][const_352_469 | SmartOpt Trimming
][const_353_470 | SmartOpt Trimming
][const_354_471 | SmartOpt Trimming
][const_355_472 | SmartOpt Trimming
][const_356_473 | SmartOpt Trimming
][const_357_474 | SmartOpt Trimming
][const_358_475 | SmartOpt Trimming
][const_359_476 | SmartOpt Trimming
][const_35_51 | SmartOpt Trimming
][const_360_477 | SmartOpt Trimming
][const_361_478 | SmartOpt Trimming
][const_362_479 | SmartOpt Trimming
][const_363_480 | SmartOpt Trimming
][const_364_483 | SmartOpt Trimming
][const_365_484 | SmartOpt Trimming
][const_366_488 | SmartOpt Trimming
][const_367_489 | SmartOpt Trimming
][const_368_491 | SmartOpt Trimming
][const_369_492 | SmartOpt Trimming
][const_36_55 | SmartOpt Trimming
][const_370_495 | SmartOpt Trimming
][const_371_496 | SmartOpt Trimming
][const_372_499 | SmartOpt Trimming
][const_373_500 | SmartOpt Trimming
][const_374_504 | SmartOpt Trimming
][const_375_505 | SmartOpt Trimming
][const_376_506 | SmartOpt Trimming
][const_377_507 | SmartOpt Trimming
][const_378_510 | SmartOpt Trimming
][const_379_511 | SmartOpt Trimming
][const_37_56 | SmartOpt Trimming
][const_380_512 | SmartOpt Trimming
][const_381_513 | SmartOpt Trimming
][const_383_515 | SmartOpt Trimming
][const_384_516 | SmartOpt Trimming
][const_386_517 | SmartOpt Trimming
][const_387_518 | SmartOpt Trimming
][const_389_520 | SmartOpt Trimming
][const_38_60 | SmartOpt Trimming
][const_390_521 | SmartOpt Trimming
][const_392_523 | SmartOpt Trimming
][const_393_524 | SmartOpt Trimming
][const_395_526 | SmartOpt Trimming
][const_396_527 | SmartOpt Trimming
][const_398_528 | SmartOpt Trimming
][const_399_529 | SmartOpt Trimming
][const_39_61 | SmartOpt Trimming
][const_401_531 | SmartOpt Trimming
][const_402_532 | SmartOpt Trimming
][const_405_534 | SmartOpt Trimming
][const_407_537 | SmartOpt Trimming
][const_409_540 | SmartOpt Trimming
][const_40_65 | SmartOpt Trimming
][const_411_542 | SmartOpt Trimming
][const_412_543 | SmartOpt Trimming
][const_413_544 | SmartOpt Trimming
][const_415_545 | SmartOpt Trimming
][const_416_546 | SmartOpt Trimming
][const_418_547 | SmartOpt Trimming
][const_419_548 | SmartOpt Trimming
][const_41_66 | SmartOpt Trimming
][const_421_549 | SmartOpt Trimming
][const_422_550 | SmartOpt Trimming
][const_424_551 | SmartOpt Trimming
][const_425_552 | SmartOpt Trimming
][const_427_553 | SmartOpt Trimming
][const_428_554 | SmartOpt Trimming
][const_42_70 | SmartOpt Trimming
][const_430_555 | SmartOpt Trimming
][const_431_556 | SmartOpt Trimming
][const_433_557 | SmartOpt Trimming
][const_434_558 | SmartOpt Trimming
][const_436_560 | SmartOpt Trimming
][const_437_561 | SmartOpt Trimming
][const_439_564 | SmartOpt Trimming
][const_43_71 | SmartOpt Trimming
][const_440_565 | SmartOpt Trimming
][const_442_566 | SmartOpt Trimming
][const_443_567 | SmartOpt Trimming
][const_445_570 | SmartOpt Trimming
][const_446_571 | SmartOpt Trimming
][const_448_573 | SmartOpt Trimming
][const_449_574 | SmartOpt Trimming
][const_44_75 | SmartOpt Trimming
][const_451_576 | SmartOpt Trimming
][const_452_577 | SmartOpt Trimming
][const_454_578 | SmartOpt Trimming
][const_455_579 | SmartOpt Trimming
][const_456_580 | SmartOpt Trimming
][const_457_581 | SmartOpt Trimming
][const_458_582 | SmartOpt Trimming
][const_459_583 | SmartOpt Trimming
][const_45_76 | SmartOpt Trimming
][const_461_584 | SmartOpt Trimming
][const_462_585 | SmartOpt Trimming
][const_464_587 | SmartOpt Trimming
][const_465_588 | SmartOpt Trimming
][const_467_590 | SmartOpt Trimming
][const_468_591 | SmartOpt Trimming
][const_46_83 | SmartOpt Trimming
][const_470_593 | SmartOpt Trimming
][const_471_594 | SmartOpt Trimming
][const_473_596 | SmartOpt Trimming
][const_474_597 | SmartOpt Trimming
][const_476_600 | SmartOpt Trimming
][const_477_601 | SmartOpt Trimming
][const_479_604 | SmartOpt Trimming
][const_47_84 | SmartOpt Trimming
][const_480_605 | SmartOpt Trimming
][const_482_610 | SmartOpt Trimming
][const_483_611 | SmartOpt Trimming
][const_485_613 | SmartOpt Trimming
][const_486_614 | SmartOpt Trimming
][const_488_616 | SmartOpt Trimming
][const_489_617 | SmartOpt Trimming
][const_48_88 | SmartOpt Trimming
][const_491_619 | SmartOpt Trimming
][const_492_620 | SmartOpt Trimming
][const_494_622 | SmartOpt Trimming
][const_495_623 | SmartOpt Trimming
][const_497_625 | SmartOpt Trimming
][const_498_626 | SmartOpt Trimming
][const_49_89 | SmartOpt Trimming
][const_500_628 | SmartOpt Trimming
][const_501_629 | SmartOpt Trimming
][const_503_631 | SmartOpt Trimming
][const_504_632 | SmartOpt Trimming
][const_506_637 | SmartOpt Trimming
][const_507_638 | SmartOpt Trimming
][const_509_640 | SmartOpt Trimming
][const_50_93 | SmartOpt Trimming
][const_510_641 | SmartOpt Trimming
][const_512_643 | SmartOpt Trimming
][const_513_644 | SmartOpt Trimming
][const_515_646 | SmartOpt Trimming
][const_516_647 | SmartOpt Trimming
][const_518_649 | SmartOpt Trimming
][const_519_650 | SmartOpt Trimming
][const_51_94 | SmartOpt Trimming
][const_521_652 | SmartOpt Trimming
][const_522_653 | SmartOpt Trimming
][const_524_655 | SmartOpt Trimming
][const_525_656 | SmartOpt Trimming
][const_527_658 | SmartOpt Trimming
][const_528_659 | SmartOpt Trimming
][const_52_98 | SmartOpt Trimming
][const_53_99 | SmartOpt Trimming
][const_54_103 | SmartOpt Trimming
][const_55_104 | SmartOpt Trimming
][const_56_106 | SmartOpt Trimming
][const_57_107 | SmartOpt Trimming
][const_58_111 | SmartOpt Trimming
][const_59_112 | SmartOpt Trimming
][const_60_113 | SmartOpt Trimming
][const_61_114 | SmartOpt Trimming
][const_62_116 | SmartOpt Trimming
][const_63_117 | SmartOpt Trimming
][const_64_118 | SmartOpt Trimming
][const_65_119 | SmartOpt Trimming
][const_66_120 | SmartOpt Trimming
][const_67_121 | SmartOpt Trimming
][const_68_122 | SmartOpt Trimming
][const_69_123 | SmartOpt Trimming
][const_70_124 | SmartOpt Trimming
][const_71_125 | SmartOpt Trimming
][const_72_126 | SmartOpt Trimming
][const_73_127 | SmartOpt Trimming
][const_74_128 | SmartOpt Trimming
][const_75_129 | SmartOpt Trimming
][const_76_130 | SmartOpt Trimming
][const_77_131 | SmartOpt Trimming
][const_78_133 | SmartOpt Trimming
][const_79_134 | SmartOpt Trimming
][const_80_135 | SmartOpt Trimming
][const_81_136 | SmartOpt Trimming
][const_82_137 | SmartOpt Trimming
][const_83_138 | SmartOpt Trimming
][const_84_139 | SmartOpt Trimming
][const_85_140 | SmartOpt Trimming
][const_86_141 | SmartOpt Trimming
][const_87_142 | SmartOpt Trimming
][const_88_143 | SmartOpt Trimming
][const_89_144 | SmartOpt Trimming
][const_90_145 | SmartOpt Trimming
][const_91_146 | SmartOpt Trimming
][const_92_147 | SmartOpt Trimming
][const_93_148 | SmartOpt Trimming
][const_94_150 | SmartOpt Trimming
][const_95_151 | SmartOpt Trimming
][const_96_152 | SmartOpt Trimming
][const_97_153 | SmartOpt Trimming
][const_98_154 | SmartOpt Trimming
][const_99_155 | SmartOpt Trimming
][1017_599 | SmartOpt Trimming
][124_6 | SmartOpt Trimming
][128_7 | SmartOpt Trimming
][132_8 | SmartOpt Trimming
][139_9 | SmartOpt Trimming
][146_10 | SmartOpt Trimming
][153_11 | SmartOpt Trimming
][160_12 | SmartOpt Trimming
][167_13 | SmartOpt Trimming
][174_14 | SmartOpt Trimming
][181_15 | SmartOpt Trimming
][188_16 | SmartOpt Trimming
][195_17 | SmartOpt Trimming
][202_18 | SmartOpt Trimming
][209_19 | SmartOpt Trimming
][216_20 | SmartOpt Trimming
][225_21 | SmartOpt Trimming
][229_22 | SmartOpt Trimming
][233_23 | SmartOpt Trimming
][240_24 | SmartOpt Trimming
][247_25 | SmartOpt Trimming
][254_26 | SmartOpt Trimming
][261_27 | SmartOpt Trimming
][268_28 | SmartOpt Trimming
][275_29 | SmartOpt Trimming
][282_30 | SmartOpt Trimming
][289_31 | SmartOpt Trimming
][296_32 | SmartOpt Trimming
][303_33 | SmartOpt Trimming
][310_34 | SmartOpt Trimming
][317_35 | SmartOpt Trimming
][331_38 | SmartOpt Trimming
][340_53 | SmartOpt Trimming
][344_58 | SmartOpt Trimming
][348_63 | SmartOpt Trimming
][352_68 | SmartOpt Trimming
][356_73 | SmartOpt Trimming
][360_78 | SmartOpt Trimming
][364_83 | SmartOpt Trimming
][500_179 | SmartOpt Trimming
][504_183 | SmartOpt Trimming
][508_187 | SmartOpt Trimming
][512_191 | SmartOpt Trimming
][516_196 | SmartOpt Trimming
][520_200 | SmartOpt Trimming
][524_205 | SmartOpt Trimming
][532_216 | SmartOpt Trimming
][536_221 | SmartOpt Trimming
][540_226 | SmartOpt Trimming
][544_231 | SmartOpt Trimming
][548_236 | SmartOpt Trimming
][552_241 | SmartOpt Trimming
][556_246 | SmartOpt Trimming
][576_265 | SmartOpt Trimming
][580_269 | SmartOpt Trimming
][584_273 | SmartOpt Trimming
][588_277 | SmartOpt Trimming
][592_281 | SmartOpt Trimming
][596_285 | SmartOpt Trimming
][822_441 | SmartOpt Trimming
][827_445 | SmartOpt Trimming
][832_448 | SmartOpt Trimming
][848_460 | SmartOpt Trimming
][852_464 | SmartOpt Trimming
][856_469 | SmartOpt Trimming
][860_474 | SmartOpt Trimming
][863_475 | SmartOpt Trimming
][937_536 | SmartOpt Trimming
][940_539 | SmartOpt Trimming
][943_542 | SmartOpt Trimming
][946_545 | SmartOpt Trimming
][949_548 | SmartOpt Trimming
][952_551 | SmartOpt Trimming
][958_556 | SmartOpt Trimming
][const_100_161 | SmartOpt Trimming
][const_101_162 | SmartOpt Trimming
][const_102_163 | SmartOpt Trimming
][const_103_164 | SmartOpt Trimming
][const_104_165 | SmartOpt Trimming
][const_105_166 | SmartOpt Trimming
][const_106_167 | SmartOpt Trimming
][const_107_168 | SmartOpt Trimming
][const_108_171 | SmartOpt Trimming
][const_109_172 | SmartOpt Trimming
][const_110_177 | SmartOpt Trimming
][const_111_178 | SmartOpt Trimming
][const_112_181 | SmartOpt Trimming
][const_113_182 | SmartOpt Trimming
][const_114_185 | SmartOpt Trimming
][const_115_186 | SmartOpt Trimming
][const_116_189 | SmartOpt Trimming
][const_117_190 | SmartOpt Trimming
][const_118_194 | SmartOpt Trimming
][const_119_195 | SmartOpt Trimming
][const_120_198 | SmartOpt Trimming
][const_121_199 | SmartOpt Trimming
][const_122_203 | SmartOpt Trimming
][const_123_204 | SmartOpt Trimming
][const_124_208 | SmartOpt Trimming
][const_125_209 | SmartOpt Trimming
][const_126_214 | SmartOpt Trimming
][const_127_215 | SmartOpt Trimming
][const_128_219 | SmartOpt Trimming
][const_129_220 | SmartOpt Trimming
][const_130_224 | SmartOpt Trimming
][const_131_225 | SmartOpt Trimming
][const_132_229 | SmartOpt Trimming
][const_133_230 | SmartOpt Trimming
][const_134_234 | SmartOpt Trimming
][const_135_235 | SmartOpt Trimming
][const_136_239 | SmartOpt Trimming
][const_137_240 | SmartOpt Trimming
][const_138_244 | SmartOpt Trimming
][const_139_245 | SmartOpt Trimming
][const_140_251 | SmartOpt Trimming
][const_142_254 | SmartOpt Trimming
][const_144_258 | SmartOpt Trimming
][const_146_262 | SmartOpt Trimming
][const_148_266 | SmartOpt Trimming
][const_150_270 | SmartOpt Trimming
][const_152_274 | SmartOpt Trimming
][const_154_278 | SmartOpt Trimming
][const_156_282 | SmartOpt Trimming
][const_158_286 | SmartOpt Trimming
][const_160_287 | SmartOpt Trimming
][const_161_288 | SmartOpt Trimming
][const_162_292 | SmartOpt Trimming
][const_163_293 | SmartOpt Trimming
][const_164_294 | SmartOpt Trimming
][const_165_295 | SmartOpt Trimming
][const_166_296 | SmartOpt Trimming
][const_167_297 | SmartOpt Trimming
][const_168_298 | SmartOpt Trimming
][const_169_299 | SmartOpt Trimming
][const_170_300 | SmartOpt Trimming
][const_171_301 | SmartOpt Trimming
][const_172_302 | SmartOpt Trimming
][const_173_303 | SmartOpt Trimming
][const_174_304 | SmartOpt Trimming
][const_175_305 | SmartOpt Trimming
][const_176_306 | SmartOpt Trimming
][const_177_307 | SmartOpt Trimming
][const_179_308 | SmartOpt Trimming
][const_180_309 | SmartOpt Trimming
][const_182_310 | SmartOpt Trimming
][const_183_311 | SmartOpt Trimming
][const_185_312 | SmartOpt Trimming
][const_186_313 | SmartOpt Trimming
][const_188_314 | SmartOpt Trimming
][const_189_315 | SmartOpt Trimming
][const_191_316 | SmartOpt Trimming
][const_192_317 | SmartOpt Trimming
][const_194_318 | SmartOpt Trimming
][const_195_319 | SmartOpt Trimming
][const_197_320 | SmartOpt Trimming
][const_198_321 | SmartOpt Trimming
][const_200_322 | SmartOpt Trimming
][const_201_323 | SmartOpt Trimming
][const_203_324 | SmartOpt Trimming
][const_204_325 | SmartOpt Trimming
][const_206_326 | SmartOpt Trimming
][const_207_327 | SmartOpt Trimming
][const_209_328 | SmartOpt Trimming
][const_210_329 | SmartOpt Trimming
][const_212_330 | SmartOpt Trimming
][const_213_331 | SmartOpt Trimming
][const_215_332 | SmartOpt Trimming
][const_216_333 | SmartOpt Trimming
][const_218_334 | SmartOpt Trimming
][const_219_335 | SmartOpt Trimming
][const_221_336 | SmartOpt Trimming
][const_222_337 | SmartOpt Trimming
][const_224_338 | SmartOpt Trimming
][const_225_339 | SmartOpt Trimming
][const_226_343 | SmartOpt Trimming
][const_227_344 | SmartOpt Trimming
][const_228_345 | SmartOpt Trimming
][const_229_346 | SmartOpt Trimming
][const_230_347 | SmartOpt Trimming
][const_231_348 | SmartOpt Trimming
][const_232_349 | SmartOpt Trimming
][const_233_350 | SmartOpt Trimming
][const_234_351 | SmartOpt Trimming
][const_235_352 | SmartOpt Trimming
][const_236_353 | SmartOpt Trimming
][const_237_354 | SmartOpt Trimming
][const_239_355 | SmartOpt Trimming
][const_240_356 | SmartOpt Trimming
][const_242_357 | SmartOpt Trimming
][const_243_358 | SmartOpt Trimming
][const_245_359 | SmartOpt Trimming
][const_246_360 | SmartOpt Trimming
][const_248_361 | SmartOpt Trimming
][const_249_362 | SmartOpt Trimming
][const_24_36 | SmartOpt Trimming
][const_251_363 | SmartOpt Trimming
][const_252_364 | SmartOpt Trimming
][const_254_365 | SmartOpt Trimming
][const_255_366 | SmartOpt Trimming
][const_257_367 | SmartOpt Trimming
][const_258_368 | SmartOpt Trimming
][const_25_37 | SmartOpt Trimming
][const_260_369 | SmartOpt Trimming
][const_261_370 | SmartOpt Trimming
][const_263_371 | SmartOpt Trimming
][const_264_372 | SmartOpt Trimming
][const_266_373 | SmartOpt Trimming
][const_267_374 | SmartOpt Trimming
][const_269_375 | SmartOpt Trimming
][const_26_39 | SmartOpt Trimming
][const_270_376 | SmartOpt Trimming
][const_272_377 | SmartOpt Trimming
][const_273_378 | SmartOpt Trimming
][const_274_380 | SmartOpt Trimming
][const_275_381 | SmartOpt Trimming
][const_276_382 | SmartOpt Trimming
][const_277_383 | SmartOpt Trimming
][const_278_384 | SmartOpt Trimming
][const_279_385 | SmartOpt Trimming
][const_27_40 | SmartOpt Trimming
][const_280_386 | SmartOpt Trimming
][const_281_387 | SmartOpt Trimming
][const_282_388 | SmartOpt Trimming
][const_283_389 | SmartOpt Trimming
][const_284_390 | SmartOpt Trimming
][const_285_391 | SmartOpt Trimming
][const_286_392 | SmartOpt Trimming
][const_287_393 | SmartOpt Trimming
][const_288_396 | SmartOpt Trimming
][const_289_397 | SmartOpt Trimming
][const_28_43 | SmartOpt Trimming
][const_290_401 | SmartOpt Trimming
][const_291_402 | SmartOpt Trimming
][const_292_405 | SmartOpt Trimming
][const_293_406 | SmartOpt Trimming
][const_294_409 | SmartOpt Trimming
][const_295_410 | SmartOpt Trimming
][const_296_413 | SmartOpt Trimming
][const_297_414 | SmartOpt Trimming
][const_298_417 | SmartOpt Trimming
][const_299_418 | SmartOpt Trimming
][const_29_44 | SmartOpt Trimming
][const_300_421 | SmartOpt Trimming
][const_301_422 | SmartOpt Trimming
][const_302_425 | SmartOpt Trimming
][const_303_426 | SmartOpt Trimming
][const_304_427 | SmartOpt Trimming
][const_305_428 | SmartOpt Trimming
][const_307_429 | SmartOpt Trimming
][const_308_430 | SmartOpt Trimming
][const_30_51 | SmartOpt Trimming
][const_310_431 | SmartOpt Trimming
][const_311_432 | SmartOpt Trimming
][const_313_433 | SmartOpt Trimming
][const_314_434 | SmartOpt Trimming
][const_316_436 | SmartOpt Trimming
][const_317_440 | SmartOpt Trimming
][const_318_444 | SmartOpt Trimming
][const_319_447 | SmartOpt Trimming
][const_31_52 | SmartOpt Trimming
][const_320_449 | SmartOpt Trimming
][const_321_450 | SmartOpt Trimming
][const_323_451 | SmartOpt Trimming
][const_324_452 | SmartOpt Trimming
][const_326_453 | SmartOpt Trimming
][const_327_454 | SmartOpt Trimming
][const_329_455 | SmartOpt Trimming
][const_32_56 | SmartOpt Trimming
][const_330_456 | SmartOpt Trimming
][const_332_458 | SmartOpt Trimming
][const_333_459 | SmartOpt Trimming
][const_334_462 | SmartOpt Trimming
][const_335_463 | SmartOpt Trimming
][const_336_467 | SmartOpt Trimming
][const_337_468 | SmartOpt Trimming
][const_338_472 | SmartOpt Trimming
][const_339_473 | SmartOpt Trimming
][const_33_57 | SmartOpt Trimming
][const_340_476 | SmartOpt Trimming
][const_341_477 | SmartOpt Trimming
][const_342_479 | SmartOpt Trimming
][const_343_480 | SmartOpt Trimming
][const_345_482 | SmartOpt Trimming
][const_346_483 | SmartOpt Trimming
][const_348_484 | SmartOpt Trimming
][const_349_485 | SmartOpt Trimming
][const_34_61 | SmartOpt Trimming
][const_350_486 | SmartOpt Trimming
][const_351_487 | SmartOpt Trimming
][const_352_488 | SmartOpt Trimming
][const_353_489 | SmartOpt Trimming
][const_354_490 | SmartOpt Trimming
][const_355_491 | SmartOpt Trimming
][const_356_492 | SmartOpt Trimming
][const_357_493 | SmartOpt Trimming
][const_358_494 | SmartOpt Trimming
][const_359_495 | SmartOpt Trimming
][const_35_62 | SmartOpt Trimming
][const_360_496 | SmartOpt Trimming
][const_361_497 | SmartOpt Trimming
][const_362_498 | SmartOpt Trimming
][const_363_499 | SmartOpt Trimming
][const_364_504 | SmartOpt Trimming
][const_365_505 | SmartOpt Trimming
][const_366_509 | SmartOpt Trimming
][const_367_510 | SmartOpt Trimming
][const_368_514 | SmartOpt Trimming
][const_369_515 | SmartOpt Trimming
][const_36_66 | SmartOpt Trimming
][const_370_518 | SmartOpt Trimming
][const_371_519 | SmartOpt Trimming
][const_372_522 | SmartOpt Trimming
][const_373_523 | SmartOpt Trimming
][const_374_528 | SmartOpt Trimming
][const_375_529 | SmartOpt Trimming
][const_376_530 | SmartOpt Trimming
][const_377_531 | SmartOpt Trimming
][const_378_534 | SmartOpt Trimming
][const_379_535 | SmartOpt Trimming
][const_37_67 | SmartOpt Trimming
][const_380_537 | SmartOpt Trimming
][const_381_538 | SmartOpt Trimming
][const_383_540 | SmartOpt Trimming
][const_384_541 | SmartOpt Trimming
][const_386_543 | SmartOpt Trimming
][const_387_544 | SmartOpt Trimming
][const_389_546 | SmartOpt Trimming
][const_38_71 | SmartOpt Trimming
][const_390_547 | SmartOpt Trimming
][const_392_549 | SmartOpt Trimming
][const_393_550 | SmartOpt Trimming
][const_395_552 | SmartOpt Trimming
][const_396_553 | SmartOpt Trimming
][const_398_554 | SmartOpt Trimming
][const_399_555 | SmartOpt Trimming
][const_39_72 | SmartOpt Trimming
][const_401_557 | SmartOpt Trimming
][const_402_558 | SmartOpt Trimming
][const_405_560 | SmartOpt Trimming
][const_407_563 | SmartOpt Trimming
][const_409_566 | SmartOpt Trimming
][const_40_76 | SmartOpt Trimming
][const_411_568 | SmartOpt Trimming
][const_412_569 | SmartOpt Trimming
][const_413_570 | SmartOpt Trimming
][const_415_571 | SmartOpt Trimming
][const_416_572 | SmartOpt Trimming
][const_418_573 | SmartOpt Trimming
][const_419_574 | SmartOpt Trimming
][const_41_77 | SmartOpt Trimming
][const_421_575 | SmartOpt Trimming
][const_422_576 | SmartOpt Trimming
][const_424_577 | SmartOpt Trimming
][const_425_578 | SmartOpt Trimming
][const_427_579 | SmartOpt Trimming
][const_428_580 | SmartOpt Trimming
][const_42_81 | SmartOpt Trimming
][const_430_581 | SmartOpt Trimming
][const_431_582 | SmartOpt Trimming
][const_433_583 | SmartOpt Trimming
][const_434_584 | SmartOpt Trimming
][const_436_585 | SmartOpt Trimming
][const_437_586 | SmartOpt Trimming
][const_439_589 | SmartOpt Trimming
][const_43_82 | SmartOpt Trimming
][const_440_590 | SmartOpt Trimming
][const_442_593 | SmartOpt Trimming
][const_443_594 | SmartOpt Trimming
][const_445_597 | SmartOpt Trimming
][const_446_598 | SmartOpt Trimming
][const_449_600 | SmartOpt Trimming
][const_44_89 | SmartOpt Trimming
][const_450_602 | SmartOpt Trimming
][const_451_603 | SmartOpt Trimming
][const_453_605 | SmartOpt Trimming
][const_454_606 | SmartOpt Trimming
][const_456_607 | SmartOpt Trimming
][const_457_608 | SmartOpt Trimming
][const_458_609 | SmartOpt Trimming
][const_459_610 | SmartOpt Trimming
][const_45_90 | SmartOpt Trimming
][const_460_611 | SmartOpt Trimming
][const_461_612 | SmartOpt Trimming
][const_463_613 | SmartOpt Trimming
][const_464_614 | SmartOpt Trimming
][const_466_617 | SmartOpt Trimming
][const_467_618 | SmartOpt Trimming
][const_469_620 | SmartOpt Trimming
][const_46_93 | SmartOpt Trimming
][const_470_621 | SmartOpt Trimming
][const_472_625 | SmartOpt Trimming
][const_473_626 | SmartOpt Trimming
][const_475_629 | SmartOpt Trimming
][const_476_630 | SmartOpt Trimming
][const_478_633 | SmartOpt Trimming
][const_479_634 | SmartOpt Trimming
][const_47_94 | SmartOpt Trimming
][const_481_637 | SmartOpt Trimming
][const_482_638 | SmartOpt Trimming
][const_484_644 | SmartOpt Trimming
][const_485_645 | SmartOpt Trimming
][const_487_647 | SmartOpt Trimming
][const_488_648 | SmartOpt Trimming
][const_48_97 | SmartOpt Trimming
][const_490_650 | SmartOpt Trimming
][const_491_651 | SmartOpt Trimming
][const_493_653 | SmartOpt Trimming
][const_494_654 | SmartOpt Trimming
][const_496_656 | SmartOpt Trimming
][const_497_657 | SmartOpt Trimming
][const_499_659 | SmartOpt Trimming
][const_49_98 | SmartOpt Trimming
][const_500_660 | SmartOpt Trimming
][const_502_662 | SmartOpt Trimming
][const_503_663 | SmartOpt Trimming
][const_505_665 | SmartOpt Trimming
][const_506_666 | SmartOpt Trimming
][const_508_668 | SmartOpt Trimming
][const_509_669 | SmartOpt Trimming
][const_50_101 | SmartOpt Trimming
][const_511_671 | SmartOpt Trimming
][const_512_672 | SmartOpt Trimming
][const_514_674 | SmartOpt Trimming
][const_515_675 | SmartOpt Trimming
][const_517_677 | SmartOpt Trimming
][const_518_678 | SmartOpt Trimming
][const_51_102 | SmartOpt Trimming
][const_520_680 | SmartOpt Trimming
][const_521_681 | SmartOpt Trimming
][const_523_683 | SmartOpt Trimming
][const_524_684 | SmartOpt Trimming
][const_526_686 | SmartOpt Trimming
][const_527_687 | SmartOpt Trimming
][const_529_692 | SmartOpt Trimming
][const_52_105 | SmartOpt Trimming
][const_530_693 | SmartOpt Trimming
][const_532_695 | SmartOpt Trimming
][const_533_696 | SmartOpt Trimming
][const_535_698 | SmartOpt Trimming
][const_536_699 | SmartOpt Trimming
][const_538_701 | SmartOpt Trimming
][const_539_702 | SmartOpt Trimming
][const_53_106 | SmartOpt Trimming
][const_541_704 | SmartOpt Trimming
][const_542_705 | SmartOpt Trimming
][const_544_707 | SmartOpt Trimming
][const_545_708 | SmartOpt Trimming
][const_547_710 | SmartOpt Trimming
][const_548_711 | SmartOpt Trimming
][const_54_109 | SmartOpt Trimming
][const_550_713 | SmartOpt Trimming
][const_551_714 | SmartOpt Trimming
][const_553_716 | SmartOpt Trimming
][const_554_717 | SmartOpt Trimming
][const_556_719 | SmartOpt Trimming
][const_557_720 | SmartOpt Trimming
][const_559_722 | SmartOpt Trimming
][const_55_110 | SmartOpt Trimming
][const_560_723 | SmartOpt Trimming
][const_562_725 | SmartOpt Trimming
][const_563_726 | SmartOpt Trimming
][const_565_728 | SmartOpt Trimming
][const_566_729 | SmartOpt Trimming
][const_568_731 | SmartOpt Trimming
][const_569_732 | SmartOpt Trimming
][const_56_111 | SmartOpt Trimming
][const_571_734 | SmartOpt Trimming
][const_572_735 | SmartOpt Trimming
][const_57_112 | SmartOpt Trimming
][const_58_116 | SmartOpt Trimming
][const_59_117 | SmartOpt Trimming
][const_60_118 | SmartOpt Trimming
][const_61_119 | SmartOpt Trimming
][const_62_121 | SmartOpt Trimming
][const_63_122 | SmartOpt Trimming
][const_64_123 | SmartOpt Trimming
][const_65_124 | SmartOpt Trimming
][const_66_125 | SmartOpt Trimming
][const_67_126 | SmartOpt Trimming
][const_68_127 | SmartOpt Trimming
][const_69_128 | SmartOpt Trimming
][const_70_129 | SmartOpt Trimming
][const_71_130 | SmartOpt Trimming
][const_72_131 | SmartOpt Trimming
][const_73_132 | SmartOpt Trimming
][const_74_133 | SmartOpt Trimming
][const_75_134 | SmartOpt Trimming
][const_76_135 | SmartOpt Trimming
][const_77_136 | SmartOpt Trimming
][const_78_138 | SmartOpt Trimming
][const_79_139 | SmartOpt Trimming
][const_80_140 | SmartOpt Trimming
][const_81_141 | SmartOpt Trimming
][const_82_142 | SmartOpt Trimming
][const_83_143 | SmartOpt Trimming
][const_84_144 | SmartOpt Trimming
][const_85_145 | SmartOpt Trimming
][const_86_146 | SmartOpt Trimming
][const_87_147 | SmartOpt Trimming
][const_88_148 | SmartOpt Trimming
][const_89_149 | SmartOpt Trimming
][const_90_150 | SmartOpt Trimming
][const_91_151 | SmartOpt Trimming
][const_92_152 | SmartOpt Trimming
][const_93_153 | SmartOpt Trimming
][const_94_155 | SmartOpt Trimming
][const_95_156 | SmartOpt Trimming
][const_96_157 | SmartOpt Trimming
][const_97_158 | SmartOpt Trimming
][const_98_159 | SmartOpt Trimming
][const_99_160 | SmartOpt Trimming
 
 
Flops added for Enable Generation
/spi_master_slave/trunk/syn/spi_slave.vhd
127,8 → 127,9
-- data, the last data word is repeated.
-- 2011/08/08 v2.02.0123 [JD] ISSUE: continuous transfer mode bug, for ignored 'di_req' cycles. Instead of repeating the last data word,
-- the slave will send (others => '0') instead.
-- 2011/08/28 v2.02.0126 [JD] ISSUE: the miso_o MUX that preloads tx_bit when slave is desselected will glitch for CPHA='1'.
-- FIX: added a registered drive for the MUX select that will transfer the tx_reg only after the first tx_reg update.
--
--
-----------------------------------------------------------------------------------------------------------------------
-- TODO
-- ====
174,6 → 175,8
-- circuitry.
-- The same is valid for the transmit and receive ports. If the receive ports are not mapped, the
-- synthesis tool will remove the receive logic from the generated circuitry.
-- Alternatively, you can remove these ports and related circuitry once the core is verified and
-- integrated to your circuit.
--================================================================================================================
 
architecture rtl of spi_slave is
188,7 → 191,7
-- especially for the Spartan-6 and newer CLB architectures, where a local reset can
-- reduce the usability of the slice registers, due to the need to share the control
-- set (RESET/PRESET, CLOCK ENABLE and CLOCK) by all 8 registers in a slice.
-- By using GSR for the initialization, and reducing RESET local init to the bare
-- By using GSR for the initialization, and reducing RESET local init to the really
-- essential, the model achieves better LUT/FF packing and CLB usability.
------------------------------------------------------------------------------------------
-- internal state signals for register and combinatorial stages
198,9 → 201,10
signal sh_next : std_logic_vector (N-1 downto 0);
signal sh_reg : std_logic_vector (N-1 downto 0);
-- mosi and miso connections
signal rx_bit_next : std_logic;
signal rx_bit_next : std_logic; -- sample of MOSI input
signal tx_bit_next : std_logic;
signal tx_bit_reg : std_logic;
signal tx_bit_reg : std_logic; -- drives MISO during sequential logic
signal preload_miso : std_logic; -- controls the MISO MUX
-- buffered di_i data signals for register and combinatorial stages
signal di_reg : std_logic_vector (N-1 downto 0);
-- internal wren_i stretcher for fsm combinatorial stage
308,12 → 312,14
core_reg_proc : process (spi_sck_i, spi_ssel_i) is
begin
-- FFD registers clocked on SHIFT edge and cleared on idle (spi_ssel_i = 1)
-- state fsm register (fdr)
if spi_ssel_i = '1' then -- async clr
state_reg <= 0; -- state falls back to idle when slave not selected
elsif spi_sck_i'event and spi_sck_i = SHIFT_EDGE then -- on SHIFT edge, update all core registers
elsif spi_sck_i'event and spi_sck_i = SHIFT_EDGE then -- on SHIFT edge, update state register
state_reg <= state_next; -- core fsm changes state with spi SHIFT clock
end if;
-- FFD registers clocked on SHIFT edge
-- rtl core registers (fd)
if spi_sck_i'event and spi_sck_i = SHIFT_EDGE then -- on fsm state change, update all core registers
sh_reg <= sh_next; -- core shift register
do_buffer_reg <= do_buffer_next; -- registered data output
321,7 → 327,15
di_req_reg <= di_req_next; -- input data request
wr_ack_reg <= wr_ack_next; -- wren ack for data load synchronization
end if;
-- FFD registers clocked on CHANGE edge and cleared on idle (spi_ssel_i = 1)
-- miso MUX preload control register (fdp)
if spi_ssel_i = '1' then -- async preset
preload_miso <= '1'; -- miso MUX sees top bit of parallel input when slave not selected
elsif spi_sck_i'event and spi_sck_i = CHANGE_EDGE then -- on CHANGE edge, change to tx_reg output
preload_miso <= spi_ssel_i; -- miso MUX sees tx_bit_reg when it is driven by SCK
end if;
-- FFD registers clocked on CHANGE edge
-- tx_bit register (fd)
if spi_sck_i'event and spi_sck_i = CHANGE_EDGE then
tx_bit_reg <= tx_bit_next; -- update MISO driver from the MSb
end if;
418,16 → 432,16
wr_ack_o_proc: wr_ack_o <= wr_ack_reg; -- copy registered wr_ack_o to output
 
-----------------------------------------------------------------------------------------------
-- MISO driver process: copy next tx bit at reset
-- MISO driver process: preload top bit of parallel data to MOSI at reset
-----------------------------------------------------------------------------------------------
-- this is a MUX that selects the combinatorial next tx bit at reset, and the registered tx bit
-- at sequential operation. The mux gives us a preload of the first bit, simplifying the shifter logic.
spi_miso_o_proc: process (spi_ssel_i, tx_bit_reg, tx_bit_next) is
spi_miso_o_proc: process (preload_miso, tx_bit_reg, di_reg) is
begin
if spi_ssel_i = '1' then
spi_miso_o <= tx_bit_next; -- copy next => reg at reset
if preload_miso = '1' then
spi_miso_o <= di_reg(N-1); -- copy top bit of parallel data at reset
else
spi_miso_o <= tx_bit_reg;
spi_miso_o <= tx_bit_reg; -- copy top bit of shifter at sequential operation
end if;
end process spi_miso_o_proc;
 
/spi_master_slave/trunk/syn/spi_master_atlys_top.pcf
1,14 → 1,8
//! **************************************************************************
// Written by: Map O.40d on Wed Aug 10 22:56:48 2011
// Written by: Map O.40d on Mon Aug 29 00:08:35 2011
//! **************************************************************************
 
SCHEMATIC START;
COMP "dbg_o<10>" LOCATE = SITE "V13" LEVEL 1;
COMP "dbg_o<11>" LOCATE = SITE "U13" LEVEL 1;
COMP "spi_miso_o" LOCATE = SITE "V15" LEVEL 1;
COMP "spi_mosi_o" LOCATE = SITE "U15" LEVEL 1;
COMP "sw_i<0>" LOCATE = SITE "A10" LEVEL 1;
COMP "sw_i<1>" LOCATE = SITE "D14" LEVEL 1;
COMP "sw_i<2>" LOCATE = SITE "C14" LEVEL 1;
COMP "sw_i<3>" LOCATE = SITE "P15" LEVEL 1;
COMP "sw_i<4>" LOCATE = SITE "P12" LEVEL 1;
46,5 → 40,15
COMP "led_o<7>" LOCATE = SITE "N12" LEVEL 1;
COMP "gclk_i" LOCATE = SITE "L15" LEVEL 1;
COMP "spi_sck_o" LOCATE = SITE "V16" LEVEL 1;
COMP "s_state_o<0>" LOCATE = SITE "V9" LEVEL 1;
COMP "s_state_o<1>" LOCATE = SITE "T9" LEVEL 1;
COMP "s_state_o<2>" LOCATE = SITE "V4" LEVEL 1;
COMP "s_state_o<3>" LOCATE = SITE "T4" LEVEL 1;
COMP "dbg_o<10>" LOCATE = SITE "V13" LEVEL 1;
COMP "dbg_o<11>" LOCATE = SITE "U13" LEVEL 1;
COMP "spi_miso_o" LOCATE = SITE "V15" LEVEL 1;
COMP "spi_mosi_o" LOCATE = SITE "U15" LEVEL 1;
COMP "sw_i<0>" LOCATE = SITE "A10" LEVEL 1;
COMP "sw_i<1>" LOCATE = SITE "D14" LEVEL 1;
SCHEMATIC END;
 
/spi_master_slave/trunk/syn/spi_ms_atlys.xise
16,6 → 16,7
 
<files>
<file xil_pn:name="spi_master_atlys_top.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
<association xil_pn:name="Implementation" xil_pn:seqID="4"/>
</file>
<file xil_pn:name="spi_master.vhd" xil_pn:type="FILE_VHDL">
31,7 → 32,7
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
</file>
<file xil_pn:name="spi_master_atlys_test.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="67"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="2"/>
154,7 → 155,7
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="HDL Instantiation Template Target Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="inst_spi_master_atlys_top" xil_pn:valueState="default"/>
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="Inst_spi_master_atlys_top" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|spi_master_atlys_top|behavioral" xil_pn:valueState="non-default"/>
289,7 → 290,7
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="work.testbench" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="work.testbench" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="inst_spi_master_atlys_top" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="Inst_spi_master_atlys_top" xil_pn:valueState="non-default"/>
<property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Extraction" xil_pn:value="false" xil_pn:valueState="non-default"/>
/spi_master_slave/trunk/syn/par_usage_statistics.html
1,32 → 1,32
<TABLE BORDER CELLSPACING=0 WIDTH='100%'>
<xtag-section name="ParStatistics">
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=1><B>Par Statistics</B></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>302</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>829</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>829</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>710</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>5.2 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>6.6 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>7.9 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>335</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>1055</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>1055</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>941</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>5.3 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>6.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>8.0 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>9.3 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>9.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>9.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>9.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>9.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>9.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>9.7 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>10.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>4.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>4.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>7.8</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>3.6</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>2.7</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100</xtag-par-property-name>=<xtag-par-property-value>26.3</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>9.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>9.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>9.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>9.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>9.8 sec</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>8.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>3.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>4.3</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>8.8</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>2.9</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>2.5</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100</xtag-par-property-name>=<xtag-par-property-value>28.8</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 500</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 5000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 20000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0172</xtag-par-property-value></TD></TR>
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.0238</xtag-par-property-value></TD></TR>
</xtag-section>
</TABLE>
/spi_master_slave/trunk/syn/spi_master_atlys_top_summary.html
2,7 → 2,7
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>spi_master_atlys_top Project Status</B></TD></TR>
<TD ALIGN=CENTER COLSPAN='4'><B>spi_master_atlys_top Project Status (08/28/2011 - 23:35:14)</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>spi_ms_atlys.xise</TD>
19,12 → 19,13
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
<TD>xc6slx45-2csg324</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Errors:</B></LI></UL></TD>
<TD>&nbsp;</TD>
<TD>
No Errors</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 13.1</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD>&nbsp;</TD>
<TD ALIGN=LEFT><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\_xmsgs/*.xmsgs?&DataKey=Warning'>28 Warnings (0 new)</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
31,20 → 32,22
<TD>Balanced</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Routing Results:</B></LI></UL></TD>
<TD>
All Signals Completely Routed</TD>
&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Strategy:</B></dif></TD>
<TD><A HREF_DISABLED='Xilinx Default (unlocked)?&DataKey=Strategy'>Xilinx Default (unlocked)</A></TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Timing Constraints:</B></LI></UL></TD>
<TD>
<A HREF_DISABLED='D:/Dropbox/VHDL_training/OpenCores/spi_master_slave/spi_master_slave/trunk/syn\spi_master_atlys_top.ptwx?&DataKey=ConstraintsData'>All Constraints Met</A></TD>
<TD>&nbsp;</TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
<TD>&nbsp;</TD>
<TD>
<A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\spi_master_atlys_top_envsettings.html'>
System Settings</A>
</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
<TD>0 &nbsp;</TD>
<TD>&nbsp;&nbsp;</TD>
</TR>
</TABLE>
 
51,387 → 54,66
 
 
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='5'><B>Device Utilization Summary</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DeviceUtilizationSummary"><B>[-]</B></a></TD></TR>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='4'><B>Device Utilization Summary (estimated values)</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DeviceUtilizationSummary(estimatedvalues)"><B>[-]</B></a></TD></TR>
<TR ALIGN=CENTER BGCOLOR='#FFFF99'>
<TD ALIGN=LEFT><B>Slice Logic Utilization</B></TD><TD><B>Used</B></TD><TD><B>Available</B></TD><TD><B>Utilization</B></TD><TD COLSPAN='2'><B>Note(s)</B></TD>
</TR>
<TD ALIGN=LEFT><B>Logic Utilization</B></TD><TD><B>Used</B></TD><TD><B>Available</B></TD><TD COLSPAN='2'><B>Utilization</B></TD></TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of Slice Registers</TD>
<TD ALIGN=RIGHT>209</TD>
<TD ALIGN=RIGHT>54,576</TD>
<TD ALIGN=RIGHT>1%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
<TD ALIGN=RIGHT>218</TD>
<TD ALIGN=RIGHT>54576</TD>
<TD ALIGN=RIGHT COLSPAN='2'>0%</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Flip Flops</TD>
<TD ALIGN=RIGHT>209</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Latches</TD>
<TD ALIGN=RIGHT>0</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Latch-thrus</TD>
<TD ALIGN=RIGHT>0</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as AND/OR logics</TD>
<TD ALIGN=RIGHT>0</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of Slice LUTs</TD>
<TD ALIGN=RIGHT>145</TD>
<TD ALIGN=RIGHT>27,288</TD>
<TD ALIGN=RIGHT>1%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
<TD ALIGN=RIGHT>166</TD>
<TD ALIGN=RIGHT>27288</TD>
<TD ALIGN=RIGHT COLSPAN='2'>0%</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as logic</TD>
<TD ALIGN=RIGHT>127</TD>
<TD ALIGN=RIGHT>27,288</TD>
<TD ALIGN=RIGHT>1%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of fully used LUT-FF pairs</TD>
<TD ALIGN=RIGHT>112</TD>
<TD ALIGN=RIGHT>272</TD>
<TD ALIGN=RIGHT COLSPAN='2'>41%</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number using O6 output only</TD>
<TD ALIGN=RIGHT>75</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number using O5 output only</TD>
<TD ALIGN=RIGHT>13</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number using O5 and O6</TD>
<TD ALIGN=RIGHT>39</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number used as ROM</TD>
<TD ALIGN=RIGHT>0</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Memory</TD>
<TD ALIGN=RIGHT>4</TD>
<TD ALIGN=RIGHT>6,408</TD>
<TD ALIGN=RIGHT>1%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number used as Dual Port RAM</TD>
<TD ALIGN=RIGHT>0</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number used as Single Port RAM</TD>
<TD ALIGN=RIGHT>0</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number used as Shift Register</TD>
<TD ALIGN=RIGHT>4</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number using O6 output only</TD>
<TD ALIGN=RIGHT>4</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number using O5 output only</TD>
<TD ALIGN=RIGHT>0</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number using O5 and O6</TD>
<TD ALIGN=RIGHT>0</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used exclusively as route-thrus</TD>
<TD ALIGN=RIGHT>14</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number with same-slice register load</TD>
<TD ALIGN=RIGHT>12</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number with same-slice carry load</TD>
<TD ALIGN=RIGHT>2</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number with other load</TD>
<TD ALIGN=RIGHT>0</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of occupied Slices</TD>
<TD ALIGN=RIGHT>91</TD>
<TD ALIGN=RIGHT>6,822</TD>
<TD ALIGN=RIGHT>1%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of LUT Flip Flop pairs used</TD>
<TD ALIGN=RIGHT>225</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number with an unused Flip Flop</TD>
<TD ALIGN=RIGHT>49</TD>
<TD ALIGN=RIGHT>225</TD>
<TD ALIGN=RIGHT>21%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number with an unused LUT</TD>
<TD ALIGN=RIGHT>80</TD>
<TD ALIGN=RIGHT>225</TD>
<TD ALIGN=RIGHT>35%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of fully used LUT-FF pairs</TD>
<TD ALIGN=RIGHT>96</TD>
<TD ALIGN=RIGHT>225</TD>
<TD ALIGN=RIGHT>42%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of unique control sets</TD>
<TD ALIGN=RIGHT>25</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of slice register sites lost<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;to control set restrictions</TD>
<TD ALIGN=RIGHT>59</TD>
<TD ALIGN=RIGHT>54,576</TD>
<TD ALIGN=RIGHT>1%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of bonded <A HREF_DISABLED='D:/Dropbox/VHDL_training/OpenCores/spi_master_slave/spi_master_slave/trunk/syn\spi_master_atlys_top_map.xrpt?&DataKey=IOBProperties'>IOBs</A></TD>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of bonded IOBs</TD>
<TD ALIGN=RIGHT>63</TD>
<TD ALIGN=RIGHT>218</TD>
<TD ALIGN=RIGHT>28%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
<TD ALIGN=RIGHT COLSPAN='2'>28%</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of LOCed IOBs</TD>
<TD ALIGN=RIGHT>43</TD>
<TD ALIGN=RIGHT>63</TD>
<TD ALIGN=RIGHT>68%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of RAMB16BWERs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>116</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of RAMB8BWERs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>232</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFIO2/BUFIO2_2CLKs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>32</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFIO2FB/BUFIO2FB_2CLKs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>32</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFG/BUFGMUXs</TD>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFG/BUFGCTRLs</TD>
<TD ALIGN=RIGHT>2</TD>
<TD ALIGN=RIGHT>16</TD>
<TD ALIGN=RIGHT>12%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
<TD ALIGN=RIGHT COLSPAN='2'>12%</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as BUFGs</TD>
<TD ALIGN=RIGHT>2</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as BUFGMUX</TD>
<TD ALIGN=RIGHT>0</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of DCM/DCM_CLKGENs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>8</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of ILOGIC2/ISERDES2s</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>376</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of IODELAY2/IODRP2/IODRP2_MCBs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>376</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of OLOGIC2/OSERDES2s</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>376</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BSCANs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>4</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFHs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>256</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFPLLs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>8</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFPLL_MCBs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>4</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of DSP48A1s</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>58</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of ICAPs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>1</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of MCBs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>2</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of PCILOGICSEs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>2</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of PLL_ADVs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>4</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of PMVs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>1</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of STARTUPs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>1</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of SUSPEND_SYNCs</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>1</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Average Fanout of Non-Clock Nets</TD>
<TD ALIGN=RIGHT>2.81</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
</TABLE>
 
 
 
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='4'><B>Performance Summary</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=PerformanceSummary"><B>[-]</B></a></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Final Timing Score:</B></TD>
<TD>0 (Setup: 0, Hold: 0)</TD>
<TD BGCOLOR='#FFFF99'><B>Pinout Data:</B></TD>
<TD COLSPAN='2'><A HREF_DISABLED='D:/Dropbox/VHDL_training/OpenCores/spi_master_slave/spi_master_slave/trunk/syn\spi_master_atlys_top_par.xrpt?&DataKey=PinoutData'>Pinout Report</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Routing Results:</B></TD><TD>
All Signals Completely Routed</TD>
<TD BGCOLOR='#FFFF99'><B>Clock Data:</B></TD>
<TD COLSPAN='2'><A HREF_DISABLED='D:/Dropbox/VHDL_training/OpenCores/spi_master_slave/spi_master_slave/trunk/syn\spi_master_atlys_top_par.xrpt?&DataKey=ClocksData'>Clock Report</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Timing Constraints:</B></TD>
<TD>
<A HREF_DISABLED='D:/Dropbox/VHDL_training/OpenCores/spi_master_slave/spi_master_slave/trunk/syn\spi_master_atlys_top.ptwx?&DataKey=ConstraintsData'>All Constraints Met</A></TD>
<TD BGCOLOR='#FFFF99'><B>&nbsp;</B></TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TABLE>
 
 
 
 
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='D:/Dropbox/VHDL_training/OpenCores/spi_master_slave/spi_master_slave/trunk/syn\spi_master_atlys_top.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>qua 10. ago 22:56:21 2011</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD>Translation Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='D:/Dropbox/VHDL_training/OpenCores/spi_master_slave/spi_master_slave/trunk/syn\spi_master_atlys_top_map.mrp'>Map Report</A></TD><TD>Current</TD><TD>qua 10. ago 22:56:49 2011</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='D:/Dropbox/VHDL_training/OpenCores/spi_master_slave/spi_master_slave/trunk/syn\spi_master_atlys_top.par'>Place and Route Report</A></TD><TD>Current</TD><TD>qua 10. ago 22:57:01 2011</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\spi_master_atlys_top.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Sun Aug 28 23:35:13 2011</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\_xmsgs/xst.xmsgs?&DataKey=Warning'>28 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\_xmsgs/xst.xmsgs?&DataKey=Info'>24 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\spi_master_atlys_top.bld'>Translation Report</A></TD><TD>Out of Date</TD><TD>Sun Aug 28 23:23:42 2011</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\spi_master_atlys_top_map.mrp'>Map Report</A></TD><TD>Out of Date</TD><TD>Sun Aug 28 23:24:01 2011</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\_xmsgs/map.xmsgs?&DataKey=Info'>13 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\spi_master_atlys_top.par'>Place and Route Report</A></TD><TD>Out of Date</TD><TD>Sun Aug 28 23:24:13 2011</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\_xmsgs/par.xmsgs?&DataKey=Warning'>1 Warning (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\_xmsgs/par.xmsgs?&DataKey=Info'>4 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='D:/Dropbox/VHDL_training/OpenCores/spi_master_slave/spi_master_slave/trunk/syn\spi_master_atlys_top.twr'>Post-PAR Static Timing Report</A></TD><TD>Current</TD><TD>qua 10. ago 22:57:08 2011</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\spi_master_atlys_top.twr'>Post-PAR Static Timing Report</A></TD><TD>Out of Date</TD><TD>Sun Aug 28 23:24:19 2011</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\_xmsgs/trce.xmsgs?&DataKey=Info'>3 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD>Bitgen Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='D:/Dropbox/VHDL_training/OpenCores/spi_master_slave/spi_master_slave/trunk/syn\spi_master_atlys_top_map.psr'>Physical Synthesis Report</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>qua 10. ago 22:56:49 2011</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='D:/Dropbox/VHDL_training/OpenCores/spi_master_slave/spi_master_slave/trunk/syn\usage_statistics_webtalk.html'>WebTalk Report</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>qua 10. ago 22:59:16 2011</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\isim.log'>ISIM Simulator Log</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Sun Aug 28 23:30:20 2011</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\netgen/synthesis/spi_master_atlys_top_synthesis.nlf'>Post-Synthesis Simulation Model Report</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Sun Aug 28 23:19:42 2011</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\netgen/translate/spi_master_atlys_top_translate.nlf'>Post-Translate Simulation Model Report</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Sun Aug 28 23:19:45 2011</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\netgen/map/spi_master_atlys_top_map.nlf'>Post-Map Simulation Model Report</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Sun Aug 28 23:20:15 2011</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\spi_master_atlys_top_map.psr'>Physical Synthesis Report</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Sun Aug 28 23:24:01 2011</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\netgen/par/spi_master_atlys_top_timesim.nlf'>Post-Place and Route Simulation Model Report</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Sun Aug 28 23:24:26 2011</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/spimasterslave/spi_master_slave/trunk/syn\usage_statistics_webtalk.html'>WebTalk Report</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Wed Aug 10 23:31:06 2011</TD></TR>
</TABLE>
 
 
<br><center><b>Date Generated:</b> 08/11/2011 - 18:45:24</center>
<br><center><b>Date Generated:</b> 08/28/2011 - 23:44:54</center>
</BODY></HTML>
/spi_master_slave/trunk/syn/spi_master_atlys_top.par
1,7 → 1,7
Release 13.1 par O.40d (nt)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
 
DEVELOP-W7:: Wed Aug 10 22:56:51 2011
DEVELOP-W7:: Mon Aug 29 00:08:38 2011
 
par -w -intstyle ise -ol high -xe n -mt 4 spi_master_atlys_top_map.ncd
spi_master_atlys_top.ncd spi_master_atlys_top.pcf
27,16 → 27,16
Device Utilization Summary:
 
Slice Logic Utilization:
Number of Slice Registers: 209 out of 54,576 1%
Number used as Flip Flops: 209
Number of Slice Registers: 224 out of 54,576 1%
Number used as Flip Flops: 224
Number used as Latches: 0
Number used as Latch-thrus: 0
Number used as AND/OR logics: 0
Number of Slice LUTs: 145 out of 27,288 1%
Number used as logic: 127 out of 27,288 1%
Number using O6 output only: 75
Number using O5 output only: 13
Number using O5 and O6: 39
Number of Slice LUTs: 177 out of 27,288 1%
Number used as logic: 167 out of 27,288 1%
Number using O6 output only: 112
Number using O5 output only: 28
Number using O5 and O6: 27
Number used as ROM: 0
Number used as Memory: 4 out of 6,408 1%
Number used as Dual Port RAM: 0
45,17 → 45,17
Number using O6 output only: 4
Number using O5 output only: 0
Number using O5 and O6: 0
Number used exclusively as route-thrus: 14
Number with same-slice register load: 12
Number used exclusively as route-thrus: 6
Number with same-slice register load: 4
Number with same-slice carry load: 2
Number with other load: 0
 
Slice Logic Distribution:
Number of occupied Slices: 91 out of 6,822 1%
Number of LUT Flip Flop pairs used: 225
Number with an unused Flip Flop: 49 out of 225 21%
Number with an unused LUT: 80 out of 225 35%
Number of fully used LUT-FF pairs: 96 out of 225 42%
Number of occupied Slices: 102 out of 6,822 1%
Number of LUT Flip Flop pairs used: 272
Number with an unused Flip Flop: 64 out of 272 23%
Number with an unused LUT: 95 out of 272 34%
Number of fully used LUT-FF pairs: 113 out of 272 41%
Number of slice register sites lost
to control set restrictions: 0 out of 54,576 0%
 
67,7 → 67,7
 
IO Utilization:
Number of bonded IOBs: 63 out of 218 28%
Number of LOCed IOBs: 43 out of 63 68%
Number of LOCed IOBs: 47 out of 63 74%
 
Specific Feature Utilization:
Number of RAMB16BWERs: 0 out of 116 0%
106,13 → 106,13
Starting Router
 
 
Phase 1 : 910 unrouted; REAL time: 5 secs
Phase 1 : 1133 unrouted; REAL time: 5 secs
 
Phase 2 : 760 unrouted; REAL time: 6 secs
Phase 2 : 972 unrouted; REAL time: 6 secs
 
Phase 3 : 207 unrouted; REAL time: 7 secs
Phase 3 : 282 unrouted; REAL time: 7 secs
 
Phase 4 : 207 unrouted; (Par is working to improve performance) REAL time: 9 secs
Phase 4 : 282 unrouted; (Par is working to improve performance) REAL time: 9 secs
 
Updating file: spi_master_atlys_top.ncd with current fully routed design.
 
148,11 → 148,11
Constraint | Check | Worst Case | Best Case | Timing | Timing
| | Slack | Achievable | Errors | Score
----------------------------------------------------------------------------------------------------------
Autotimespec constraint for clock net gcl | SETUP | N/A| 5.299ns| N/A| 0
k_i_BUFGP | HOLD | 0.388ns| | 0| 0
Autotimespec constraint for clock net gcl | SETUP | N/A| 4.888ns| N/A| 0
k_i_BUFGP | HOLD | 0.378ns| | 0| 0
----------------------------------------------------------------------------------------------------------
Autotimespec constraint for clock net Ins | SETUP | N/A| 5.052ns| N/A| 0
t_spi_master_port/spi_clk_reg_BUFG | HOLD | 0.497ns| | 0| 0
Autotimespec constraint for clock net Ins | SETUP | N/A| 3.948ns| N/A| 0
t_spi_master_port/spi_clk_reg_BUFG | HOLD | 0.459ns| | 0| 0
----------------------------------------------------------------------------------------------------------
 
 
171,7 → 171,7
Total REAL time to PAR completion: 10 secs
Total CPU time to PAR completion: 10 secs
 
Peak Memory Usage: 269 MB
Peak Memory Usage: 264 MB
 
Placer: Placement generated during map.
Routing: Completed - No errors found.
/spi_master_slave/trunk/syn/usage_statistics_webtalk.html
17,7 → 17,7
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project ID (random number)</B></TD>
<TD><xtag-property name="RandomID">d557c6c4bb5b4e4fa669c510e7b04848</xtag-property>.<xtag-property name="ProjectID">2C5BE631B69F48AB8C2F24035AF7A13B</xtag-property>.<xtag-property name="ProjectIteration">1</xtag-property></TD>
<TD><xtag-property name="RandomID">d557c6c4bb5b4e4fa669c510e7b04848</xtag-property>.<xtag-property name="ProjectID">2C5BE631B69F48AB8C2F24035AF7A13B</xtag-property>.<xtag-property name="ProjectIteration">5</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Target Package:</B></TD>
<TD><xtag-property name="TargetPackage">csg324</xtag-property></TD>
</TR>
29,7 → 29,7
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Date Generated</B></TD>
<TD><xtag-property name="Date Generated">2011-08-10T22:59:16</xtag-property></TD>
<TD><xtag-property name="Date Generated">2011-08-29T00:11:27</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Tool Flow</B></TD>
<TD><xtag-property name="ToolFlow">ISE</xtag-property></TD>
</TR>
84,21 → 84,21
<xtag-group><xtag-group-name name="Counters=5">Counters=5</xtag-group-name>
<UL>
<LI><xtag-item1>1-bit up counter=3</xtag-item1></LI>
<LI><xtag-item1>8-bit up counter=2</xtag-item1></LI>
<LI><xtag-item1>15-bit up counter=2</xtag-item1></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="FSMs=3">FSMs=3</xtag-group-name>
</xtag-group>
<xtag-group><xtag-group-name name="Multiplexers=46">Multiplexers=46</xtag-group-name>
<xtag-group><xtag-group-name name="Multiplexers=47">Multiplexers=47</xtag-group-name>
<UL>
<LI><xtag-item1>1-bit 2-to-1 multiplexer=20</xtag-item1></LI>
<LI><xtag-item1>1-bit 2-to-1 multiplexer=21</xtag-item1></LI>
<LI><xtag-item1>4-bit 2-to-1 multiplexer=12</xtag-item1></LI>
<LI><xtag-item1>8-bit 2-to-1 multiplexer=14</xtag-item1></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="Registers=205">Registers=205</xtag-group-name>
<xtag-group><xtag-group-name name="Registers=206">Registers=206</xtag-group-name>
<UL>
<LI><xtag-item1>Flip-Flops=205</xtag-item1></LI>
<LI><xtag-item1>Flip-Flops=206</xtag-item1></LI>
</UL>
</xtag-group>
</TD>
109,34 → 109,34
<UL>
<LI><xtag-item1>AGG_BONDED_IO=63</xtag-item1></LI>
<LI><xtag-item1>AGG_IO=63</xtag-item1></LI>
<LI><xtag-item1>AGG_LOCED_IO=43</xtag-item1></LI>
<LI><xtag-item1>AGG_SLICE=91</xtag-item1></LI>
<LI><xtag-item1>AGG_LOCED_IO=47</xtag-item1></LI>
<LI><xtag-item1>AGG_SLICE=102</xtag-item1></LI>
<LI><xtag-item1>NUM_BONDED_IOB=63</xtag-item1></LI>
<LI><xtag-item1>NUM_BSFULL=96</xtag-item1></LI>
<LI><xtag-item1>NUM_BSLUTONLY=49</xtag-item1></LI>
<LI><xtag-item1>NUM_BSREGONLY=80</xtag-item1></LI>
<LI><xtag-item1>NUM_BSUSED=225</xtag-item1></LI>
<LI><xtag-item1>NUM_BSFULL=113</xtag-item1></LI>
<LI><xtag-item1>NUM_BSLUTONLY=64</xtag-item1></LI>
<LI><xtag-item1>NUM_BSREGONLY=95</xtag-item1></LI>
<LI><xtag-item1>NUM_BSUSED=272</xtag-item1></LI>
<LI><xtag-item1>NUM_BUFG=2</xtag-item1></LI>
<LI><xtag-item1>NUM_LOCED_IOB=43</xtag-item1></LI>
<LI><xtag-item1>NUM_LOGIC_O5ANDO6=39</xtag-item1></LI>
<LI><xtag-item1>NUM_LOGIC_O5ONLY=13</xtag-item1></LI>
<LI><xtag-item1>NUM_LOGIC_O6ONLY=75</xtag-item1></LI>
<LI><xtag-item1>NUM_LOCED_IOB=47</xtag-item1></LI>
<LI><xtag-item1>NUM_LOGIC_O5ANDO6=27</xtag-item1></LI>
<LI><xtag-item1>NUM_LOGIC_O5ONLY=28</xtag-item1></LI>
<LI><xtag-item1>NUM_LOGIC_O6ONLY=112</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_DRIVES_CARRY4=2</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_DRIVES_FLOP=12</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_EXO5=12</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_DRIVES_FLOP=4</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_EXO5=4</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_EXO6=2</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_O6=12</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICEL=8</xtag-item1></LI>
<LI><xtag-item1>NUM_LUT_RT_O6=26</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICEL=12</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICEM=1</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICEX=82</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_CARRY4=4</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_CONTROLSET=25</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_CYINIT=198</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICEX=89</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_CARRY4=8</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_CONTROLSET=26</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_CYINIT=232</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_F7MUX=4</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_FF=209</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_UNUSEDCTRL=15</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_FF=224</xtag-item1></LI>
<LI><xtag-item1>NUM_SLICE_UNUSEDCTRL=17</xtag-item1></LI>
<LI><xtag-item1>NUM_SRL_O6ONLY=4</xtag-item1></LI>
<LI><xtag-item1>NUM_UNUSABLE_FF_BELS=59</xtag-item1></LI>
<LI><xtag-item1>NUM_UNUSABLE_FF_BELS=68</xtag-item1></LI>
</UL>
</xtag-group>
</TD>
143,38 → 143,38
<TD>
<xtag-group><xtag-group-name name="NetStatistics">NetStatistics</xtag-group-name>
<UL>
<LI><xtag-item1>NumNets_Active=365</xtag-item1></LI>
<LI><xtag-item1>NumNets_Active=398</xtag-item1></LI>
<LI><xtag-item1>NumNets_Gnd=1</xtag-item1></LI>
<LI><xtag-item1>NumNets_Vcc=1</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_BOUNCEACROSS=1</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_BOUNCEIN=38</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_BOUNCEACROSS=9</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_BOUNCEIN=49</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_BUFGOUT=2</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_BUFHINP2OUT=9</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_CLKPIN=76</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_CLKPINFEED=13</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_CNTRLPIN=39</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_DOUBLE=326</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_GENERIC=73</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_GLOBAL=75</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_INPUT=8</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_IOBIN2OUT=58</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_IOBOUTPUT=58</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_LUTINPUT=561</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_OUTBOUND=313</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_OUTPUT=298</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_PADINPUT=44</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_BUFHINP2OUT=8</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_CLKPIN=85</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_CLKPINFEED=12</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_CNTRLPIN=43</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_DOUBLE=338</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_GENERIC=77</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_GLOBAL=66</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_INPUT=12</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_IOBIN2OUT=62</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_IOBOUTPUT=62</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_LUTINPUT=758</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_OUTBOUND=344</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_OUTPUT=335</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_PADINPUT=48</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_PADOUTPUT=15</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_PINBOUNCE=210</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_PINFEED=694</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_QUAD=616</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_REGINPUT=101</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_SINGLE=431</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_PINBOUNCE=248</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_PINFEED=903</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_QUAD=603</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_REGINPUT=109</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Active_SINGLE=504</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_CNTRLPIN=1</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_HVCCOUT=25</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_HVCCOUT=24</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_KVCCOUT=2</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_LUTINPUT=59</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_LUTINPUT=61</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_PINBOUNCE=2</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_PINFEED=59</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_PINFEED=61</xtag-item1></LI>
<LI><xtag-item1>NumNodesOfType_Vcc_REGINPUT=1</xtag-item1></LI>
</UL>
</xtag-group>
183,9 → 183,9
<LI><xtag-item1>BUFG-BUFGMUX=2</xtag-item1></LI>
<LI><xtag-item1>IOB-IOBM=33</xtag-item1></LI>
<LI><xtag-item1>IOB-IOBS=30</xtag-item1></LI>
<LI><xtag-item1>SLICEL-SLICEM=2</xtag-item1></LI>
<LI><xtag-item1>SLICEX-SLICEL=18</xtag-item1></LI>
<LI><xtag-item1>SLICEX-SLICEM=20</xtag-item1></LI>
<LI><xtag-item1>SLICEL-SLICEM=7</xtag-item1></LI>
<LI><xtag-item1>SLICEX-SLICEL=12</xtag-item1></LI>
<LI><xtag-item1>SLICEX-SLICEM=30</xtag-item1></LI>
</UL>
</xtag-group>
</TD>
196,22 → 196,22
<UL>
<LI><xtag-item2>BUFG=2</xtag-item2></LI>
<LI><xtag-item2>BUFG_BUFG=2</xtag-item2></LI>
<LI><xtag-item2>CARRY4=4</xtag-item2></LI>
<LI><xtag-item2>FF_SR=42</xtag-item2></LI>
<LI><xtag-item2>CARRY4=8</xtag-item2></LI>
<LI><xtag-item2>FF_SR=22</xtag-item2></LI>
<LI><xtag-item2>HARD0=2</xtag-item2></LI>
<LI><xtag-item2>IOB=63</xtag-item2></LI>
<LI><xtag-item2>IOB_IMUX=15</xtag-item2></LI>
<LI><xtag-item2>IOB_INBUF=15</xtag-item2></LI>
<LI><xtag-item2>IOB_OUTBUF=48</xtag-item2></LI>
<LI><xtag-item2>LUT5=64</xtag-item2></LI>
<LI><xtag-item2>LUT6=128</xtag-item2></LI>
<LI><xtag-item2>LUT5=59</xtag-item2></LI>
<LI><xtag-item2>LUT6=167</xtag-item2></LI>
<LI><xtag-item2>LUT_OR_MEM6=4</xtag-item2></LI>
<LI><xtag-item2>PAD=63</xtag-item2></LI>
<LI><xtag-item2>REG_SR=167</xtag-item2></LI>
<LI><xtag-item2>REG_SR=202</xtag-item2></LI>
<LI><xtag-item2>SELMUX2_1=4</xtag-item2></LI>
<LI><xtag-item2>SLICEL=8</xtag-item2></LI>
<LI><xtag-item2>SLICEL=12</xtag-item2></LI>
<LI><xtag-item2>SLICEM=1</xtag-item2></LI>
<LI><xtag-item2>SLICEX=82</xtag-item2></LI>
<LI><xtag-item2>SLICEX=89</xtag-item2></LI>
</UL>
</xtag-group>
</TD>
223,9 → 223,9
<TD>
<xtag-group><xtag-group-name name="FF_SR">FF_SR</xtag-group-name>
<UL>
<LI><xtag-item3>CK=[CK:42] [CK_INV:0]</xtag-item3></LI>
<LI><xtag-item3>SRINIT=[SRINIT0:42]</xtag-item3></LI>
<LI><xtag-item3>SYNC_ATTR=[ASYNC:36] [SYNC:6]</xtag-item3></LI>
<LI><xtag-item3>CK=[CK:22] [CK_INV:0]</xtag-item3></LI>
<LI><xtag-item3>SRINIT=[SRINIT0:22]</xtag-item3></LI>
<LI><xtag-item3>SYNC_ATTR=[ASYNC:17] [SYNC:5]</xtag-item3></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="IOB_OUTBUF">IOB_OUTBUF</xtag-group-name>
246,10 → 246,10
</xtag-group>
<xtag-group><xtag-group-name name="REG_SR">REG_SR</xtag-group-name>
<UL>
<LI><xtag-item3>CK=[CK:166] [CK_INV:1]</xtag-item3></LI>
<LI><xtag-item3>LATCH_OR_FF=[FF:167]</xtag-item3></LI>
<LI><xtag-item3>SRINIT=[SRINIT0:164] [SRINIT1:3]</xtag-item3></LI>
<LI><xtag-item3>SYNC_ATTR=[ASYNC:159] [SYNC:8]</xtag-item3></LI>
<LI><xtag-item3>CK=[CK:200] [CK_INV:2]</xtag-item3></LI>
<LI><xtag-item3>LATCH_OR_FF=[FF:202]</xtag-item3></LI>
<LI><xtag-item3>SRINIT=[SRINIT0:198] [SRINIT1:4]</xtag-item3></LI>
<LI><xtag-item3>SYNC_ATTR=[ASYNC:193] [SYNC:9]</xtag-item3></LI>
</UL>
</TD>
<TD>
256,7 → 256,7
</xtag-group>
<xtag-group><xtag-group-name name="SLICEL">SLICEL</xtag-group-name>
<UL>
<LI><xtag-item3>CLK=[CLK:3] [CLK_INV:1]</xtag-item3></LI>
<LI><xtag-item3>CLK=[CLK:4] [CLK_INV:1]</xtag-item3></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="SLICEM">SLICEM</xtag-group-name>
266,7 → 266,7
</xtag-group>
<xtag-group><xtag-group-name name="SLICEX">SLICEX</xtag-group-name>
<UL>
<LI><xtag-item3>CLK=[CLK:71] [CLK_INV:0]</xtag-item3></LI>
<LI><xtag-item3>CLK=[CLK:78] [CLK_INV:1]</xtag-item3></LI>
</UL>
</xtag-group>
</TD>
290,29 → 290,29
</xtag-group>
<xtag-group><xtag-group-name name="CARRY4">CARRY4</xtag-group-name>
<UL>
<LI><xtag-item1>CIN=2</xtag-item1></LI>
<LI><xtag-item1>CO3=2</xtag-item1></LI>
<LI><xtag-item1>CIN=6</xtag-item1></LI>
<LI><xtag-item1>CO3=6</xtag-item1></LI>
<LI><xtag-item1>CYINIT=2</xtag-item1></LI>
<LI><xtag-item1>DI0=4</xtag-item1></LI>
<LI><xtag-item1>DI1=4</xtag-item1></LI>
<LI><xtag-item1>DI2=4</xtag-item1></LI>
<LI><xtag-item1>DI3=2</xtag-item1></LI>
<LI><xtag-item1>O0=4</xtag-item1></LI>
<LI><xtag-item1>O1=4</xtag-item1></LI>
<LI><xtag-item1>O2=4</xtag-item1></LI>
<LI><xtag-item1>O3=4</xtag-item1></LI>
<LI><xtag-item1>S0=4</xtag-item1></LI>
<LI><xtag-item1>S1=4</xtag-item1></LI>
<LI><xtag-item1>S2=4</xtag-item1></LI>
<LI><xtag-item1>S3=4</xtag-item1></LI>
<LI><xtag-item1>DI0=8</xtag-item1></LI>
<LI><xtag-item1>DI1=8</xtag-item1></LI>
<LI><xtag-item1>DI2=6</xtag-item1></LI>
<LI><xtag-item1>DI3=6</xtag-item1></LI>
<LI><xtag-item1>O0=8</xtag-item1></LI>
<LI><xtag-item1>O1=8</xtag-item1></LI>
<LI><xtag-item1>O2=8</xtag-item1></LI>
<LI><xtag-item1>O3=6</xtag-item1></LI>
<LI><xtag-item1>S0=8</xtag-item1></LI>
<LI><xtag-item1>S1=8</xtag-item1></LI>
<LI><xtag-item1>S2=8</xtag-item1></LI>
<LI><xtag-item1>S3=6</xtag-item1></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="FF_SR">FF_SR</xtag-group-name>
<UL>
<LI><xtag-item1>CE=20</xtag-item1></LI>
<LI><xtag-item1>CK=42</xtag-item1></LI>
<LI><xtag-item1>D=42</xtag-item1></LI>
<LI><xtag-item1>Q=42</xtag-item1></LI>
<LI><xtag-item1>CE=6</xtag-item1></LI>
<LI><xtag-item1>CK=22</xtag-item1></LI>
<LI><xtag-item1>D=22</xtag-item1></LI>
<LI><xtag-item1>Q=22</xtag-item1></LI>
<LI><xtag-item1>SR=7</xtag-item1></LI>
</UL>
</xtag-group>
348,23 → 348,23
</xtag-group>
<xtag-group><xtag-group-name name="LUT5">LUT5</xtag-group-name>
<UL>
<LI><xtag-item1>A1=17</xtag-item1></LI>
<LI><xtag-item1>A2=29</xtag-item1></LI>
<LI><xtag-item1>A3=31</xtag-item1></LI>
<LI><xtag-item1>A4=30</xtag-item1></LI>
<LI><xtag-item1>A5=35</xtag-item1></LI>
<LI><xtag-item1>O5=64</xtag-item1></LI>
<LI><xtag-item1>A1=16</xtag-item1></LI>
<LI><xtag-item1>A2=18</xtag-item1></LI>
<LI><xtag-item1>A3=22</xtag-item1></LI>
<LI><xtag-item1>A4=25</xtag-item1></LI>
<LI><xtag-item1>A5=26</xtag-item1></LI>
<LI><xtag-item1>O5=59</xtag-item1></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="LUT6">LUT6</xtag-group-name>
<UL>
<LI><xtag-item1>A1=34</xtag-item1></LI>
<LI><xtag-item1>A2=66</xtag-item1></LI>
<LI><xtag-item1>A3=97</xtag-item1></LI>
<LI><xtag-item1>A4=108</xtag-item1></LI>
<LI><xtag-item1>A5=126</xtag-item1></LI>
<LI><xtag-item1>A6=128</xtag-item1></LI>
<LI><xtag-item1>O6=128</xtag-item1></LI>
<LI><xtag-item1>A1=63</xtag-item1></LI>
<LI><xtag-item1>A2=123</xtag-item1></LI>
<LI><xtag-item1>A3=127</xtag-item1></LI>
<LI><xtag-item1>A4=134</xtag-item1></LI>
<LI><xtag-item1>A5=165</xtag-item1></LI>
<LI><xtag-item1>A6=167</xtag-item1></LI>
<LI><xtag-item1>O6=167</xtag-item1></LI>
</UL>
</TD>
<TD>
390,11 → 390,11
</xtag-group>
<xtag-group><xtag-group-name name="REG_SR">REG_SR</xtag-group-name>
<UL>
<LI><xtag-item1>CE=92</xtag-item1></LI>
<LI><xtag-item1>CK=167</xtag-item1></LI>
<LI><xtag-item1>D=167</xtag-item1></LI>
<LI><xtag-item1>Q=167</xtag-item1></LI>
<LI><xtag-item1>SR=11</xtag-item1></LI>
<LI><xtag-item1>CE=106</xtag-item1></LI>
<LI><xtag-item1>CK=202</xtag-item1></LI>
<LI><xtag-item1>D=202</xtag-item1></LI>
<LI><xtag-item1>Q=202</xtag-item1></LI>
<LI><xtag-item1>SR=12</xtag-item1></LI>
</UL>
</xtag-group>
<xtag-group><xtag-group-name name="SELMUX2_1">SELMUX2_1</xtag-group-name>
407,41 → 407,47
</xtag-group>
<xtag-group><xtag-group-name name="SLICEL">SLICEL</xtag-group-name>
<UL>
<LI><xtag-item1>A=1</xtag-item1></LI>
<LI><xtag-item1>A1=1</xtag-item1></LI>
<LI><xtag-item1>A2=1</xtag-item1></LI>
<LI><xtag-item1>A3=1</xtag-item1></LI>
<LI><xtag-item1>A4=1</xtag-item1></LI>
<LI><xtag-item1>A5=5</xtag-item1></LI>
<LI><xtag-item1>A6=5</xtag-item1></LI>
<LI><xtag-item1>AMUX=4</xtag-item1></LI>
<LI><xtag-item1>A5=9</xtag-item1></LI>
<LI><xtag-item1>A6=9</xtag-item1></LI>
<LI><xtag-item1>AMUX=8</xtag-item1></LI>
<LI><xtag-item1>AQ=2</xtag-item1></LI>
<LI><xtag-item1>AX=1</xtag-item1></LI>
<LI><xtag-item1>B5=4</xtag-item1></LI>
<LI><xtag-item1>B6=4</xtag-item1></LI>
<LI><xtag-item1>BMUX=4</xtag-item1></LI>
<LI><xtag-item1>BQ=1</xtag-item1></LI>
<LI><xtag-item1>BX=1</xtag-item1></LI>
<LI><xtag-item1>B=1</xtag-item1></LI>
<LI><xtag-item1>B1=1</xtag-item1></LI>
<LI><xtag-item1>B2=1</xtag-item1></LI>
<LI><xtag-item1>B3=1</xtag-item1></LI>
<LI><xtag-item1>B4=1</xtag-item1></LI>
<LI><xtag-item1>B5=9</xtag-item1></LI>
<LI><xtag-item1>B6=9</xtag-item1></LI>
<LI><xtag-item1>BMUX=8</xtag-item1></LI>
<LI><xtag-item1>BQ=2</xtag-item1></LI>
<LI><xtag-item1>BX=2</xtag-item1></LI>
<LI><xtag-item1>C1=1</xtag-item1></LI>
<LI><xtag-item1>C2=4</xtag-item1></LI>
<LI><xtag-item1>C3=4</xtag-item1></LI>
<LI><xtag-item1>C4=4</xtag-item1></LI>
<LI><xtag-item1>C5=8</xtag-item1></LI>
<LI><xtag-item1>C6=8</xtag-item1></LI>
<LI><xtag-item1>CIN=2</xtag-item1></LI>
<LI><xtag-item1>CLK=4</xtag-item1></LI>
<LI><xtag-item1>CMUX=5</xtag-item1></LI>
<LI><xtag-item1>COUT=2</xtag-item1></LI>
<LI><xtag-item1>CQ=3</xtag-item1></LI>
<LI><xtag-item1>CX=4</xtag-item1></LI>
<LI><xtag-item1>C5=10</xtag-item1></LI>
<LI><xtag-item1>C6=12</xtag-item1></LI>
<LI><xtag-item1>CIN=6</xtag-item1></LI>
<LI><xtag-item1>CLK=5</xtag-item1></LI>
<LI><xtag-item1>CMUX=9</xtag-item1></LI>
<LI><xtag-item1>COUT=6</xtag-item1></LI>
<LI><xtag-item1>CQ=4</xtag-item1></LI>
<LI><xtag-item1>CX=5</xtag-item1></LI>
<LI><xtag-item1>D1=3</xtag-item1></LI>
<LI><xtag-item1>D2=3</xtag-item1></LI>
<LI><xtag-item1>D3=4</xtag-item1></LI>
<LI><xtag-item1>D4=4</xtag-item1></LI>
<LI><xtag-item1>D5=6</xtag-item1></LI>
<LI><xtag-item1>D6=8</xtag-item1></LI>
<LI><xtag-item1>DMUX=4</xtag-item1></LI>
<LI><xtag-item1>DQ=1</xtag-item1></LI>
<LI><xtag-item1>DX=1</xtag-item1></LI>
<LI><xtag-item1>D5=10</xtag-item1></LI>
<LI><xtag-item1>D6=10</xtag-item1></LI>
<LI><xtag-item1>DMUX=6</xtag-item1></LI>
<LI><xtag-item1>DQ=2</xtag-item1></LI>
<LI><xtag-item1>DX=2</xtag-item1></LI>
</UL>
</TD>
<TD>
486,49 → 492,49
</xtag-group>
<xtag-group><xtag-group-name name="SLICEX">SLICEX</xtag-group-name>
<UL>
<LI><xtag-item1>A=16</xtag-item1></LI>
<LI><xtag-item1>A1=16</xtag-item1></LI>
<LI><xtag-item1>A2=26</xtag-item1></LI>
<LI><xtag-item1>A3=32</xtag-item1></LI>
<LI><xtag-item1>A4=34</xtag-item1></LI>
<LI><xtag-item1>A5=35</xtag-item1></LI>
<LI><xtag-item1>A6=32</xtag-item1></LI>
<LI><xtag-item1>AMUX=13</xtag-item1></LI>
<LI><xtag-item1>AQ=46</xtag-item1></LI>
<LI><xtag-item1>AX=28</xtag-item1></LI>
<LI><xtag-item1>A=12</xtag-item1></LI>
<LI><xtag-item1>A1=25</xtag-item1></LI>
<LI><xtag-item1>A2=38</xtag-item1></LI>
<LI><xtag-item1>A3=38</xtag-item1></LI>
<LI><xtag-item1>A4=42</xtag-item1></LI>
<LI><xtag-item1>A5=44</xtag-item1></LI>
<LI><xtag-item1>A6=43</xtag-item1></LI>
<LI><xtag-item1>AMUX=10</xtag-item1></LI>
<LI><xtag-item1>AQ=64</xtag-item1></LI>
<LI><xtag-item1>AX=30</xtag-item1></LI>
<LI><xtag-item1>B=10</xtag-item1></LI>
<LI><xtag-item1>B1=8</xtag-item1></LI>
<LI><xtag-item1>B2=14</xtag-item1></LI>
<LI><xtag-item1>B3=19</xtag-item1></LI>
<LI><xtag-item1>B4=23</xtag-item1></LI>
<LI><xtag-item1>B5=24</xtag-item1></LI>
<LI><xtag-item1>B6=22</xtag-item1></LI>
<LI><xtag-item1>BMUX=8</xtag-item1></LI>
<LI><xtag-item1>BQ=36</xtag-item1></LI>
<LI><xtag-item1>B1=15</xtag-item1></LI>
<LI><xtag-item1>B2=27</xtag-item1></LI>
<LI><xtag-item1>B3=28</xtag-item1></LI>
<LI><xtag-item1>B4=28</xtag-item1></LI>
<LI><xtag-item1>B5=29</xtag-item1></LI>
<LI><xtag-item1>B6=28</xtag-item1></LI>
<LI><xtag-item1>BMUX=5</xtag-item1></LI>
<LI><xtag-item1>BQ=43</xtag-item1></LI>
<LI><xtag-item1>BX=24</xtag-item1></LI>
<LI><xtag-item1>C=9</xtag-item1></LI>
<LI><xtag-item1>C1=9</xtag-item1></LI>
<LI><xtag-item1>C2=12</xtag-item1></LI>
<LI><xtag-item1>C3=18</xtag-item1></LI>
<LI><xtag-item1>C4=20</xtag-item1></LI>
<LI><xtag-item1>C5=23</xtag-item1></LI>
<LI><xtag-item1>C6=21</xtag-item1></LI>
<LI><xtag-item1>CE=29</xtag-item1></LI>
<LI><xtag-item1>CLK=71</xtag-item1></LI>
<LI><xtag-item1>CMUX=8</xtag-item1></LI>
<LI><xtag-item1>CQ=35</xtag-item1></LI>
<LI><xtag-item1>CX=19</xtag-item1></LI>
<LI><xtag-item1>D=14</xtag-item1></LI>
<LI><xtag-item1>D1=13</xtag-item1></LI>
<LI><xtag-item1>D2=21</xtag-item1></LI>
<LI><xtag-item1>D3=25</xtag-item1></LI>
<LI><xtag-item1>D4=28</xtag-item1></LI>
<LI><xtag-item1>D5=30</xtag-item1></LI>
<LI><xtag-item1>D6=28</xtag-item1></LI>
<LI><xtag-item1>DMUX=21</xtag-item1></LI>
<LI><xtag-item1>DQ=39</xtag-item1></LI>
<LI><xtag-item1>DX=24</xtag-item1></LI>
<LI><xtag-item1>SR=10</xtag-item1></LI>
<LI><xtag-item1>C1=14</xtag-item1></LI>
<LI><xtag-item1>C2=25</xtag-item1></LI>
<LI><xtag-item1>C3=25</xtag-item1></LI>
<LI><xtag-item1>C4=25</xtag-item1></LI>
<LI><xtag-item1>C5=26</xtag-item1></LI>
<LI><xtag-item1>C6=25</xtag-item1></LI>
<LI><xtag-item1>CE=34</xtag-item1></LI>
<LI><xtag-item1>CLK=79</xtag-item1></LI>
<LI><xtag-item1>CMUX=6</xtag-item1></LI>
<LI><xtag-item1>CQ=38</xtag-item1></LI>
<LI><xtag-item1>CX=20</xtag-item1></LI>
<LI><xtag-item1>D=15</xtag-item1></LI>
<LI><xtag-item1>D1=16</xtag-item1></LI>
<LI><xtag-item1>D2=30</xtag-item1></LI>
<LI><xtag-item1>D3=30</xtag-item1></LI>
<LI><xtag-item1>D4=32</xtag-item1></LI>
<LI><xtag-item1>D5=34</xtag-item1></LI>
<LI><xtag-item1>D6=31</xtag-item1></LI>
<LI><xtag-item1>DMUX=10</xtag-item1></LI>
<LI><xtag-item1>DQ=43</xtag-item1></LI>
<LI><xtag-item1>DX=26</xtag-item1></LI>
<LI><xtag-item1>SR=9</xtag-item1></LI>
</UL>
</TD>
<TD>
540,11 → 546,72
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD><B>Tool Usage</B></TD></TR>
<TR VALIGN=TOP><TD ALIGN=LEFT>Command Line History<xtag-section name="CommandLineLog"><UL>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/translate -ofmt vhdl -sim &lt;fname&gt;.ngd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/translate -ofmt vhdl -sim &lt;fname&gt;.ngd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/translate -ofmt vhdl -sim &lt;fname&gt;.ngd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/translate -ofmt vhdl -sim &lt;fname&gt;.ngd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/translate -ofmt vhdl -sim &lt;fname&gt;.ngd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/translate -ofmt vhdl -sim &lt;fname&gt;.ngd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/translate -ofmt vhdl -sim &lt;fname&gt;.ngd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -ar Structure -tm &lt;design&gt; -w -dir netgen/synthesis -ofmt vhdl -sim &lt;fname&gt;.ngc &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -s 2 -pcf &lt;fname&gt;.pcf -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/map -ofmt vhdl -sim &lt;fname&gt;.ncd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -s 2 -pcf &lt;fname&gt;.pcf -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -insert_pp_buffers true -w -dir netgen/par -ofmt vhdl -sim &lt;fname&gt;.ncd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -ar Structure -tm &lt;design&gt; -w -dir netgen/synthesis -ofmt vhdl -sim &lt;fname&gt;.ngc &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/translate -ofmt vhdl -sim &lt;fname&gt;.ngd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -s 2 -pcf &lt;fname&gt;.pcf -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/map -ofmt vhdl -sim &lt;fname&gt;.ncd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -s 2 -pcf &lt;fname&gt;.pcf -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -insert_pp_buffers true -w -dir netgen/par -ofmt vhdl -sim &lt;fname&gt;.ncd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -s 2 -pcf &lt;fname&gt;.pcf -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/map -ofmt vhdl -sim &lt;fname&gt;.ncd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -s 2 -pcf &lt;fname&gt;.pcf -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -insert_pp_buffers true -w -dir netgen/par -ofmt vhdl -sim &lt;fname&gt;.ncd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -ar Structure -tm &lt;design&gt; -w -dir netgen/synthesis -ofmt vhdl -sim &lt;fname&gt;.ngc &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/translate -ofmt vhdl -sim &lt;fname&gt;.ngd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -s 2 -pcf &lt;fname&gt;.pcf -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -w -dir netgen/map -ofmt vhdl -sim &lt;fname&gt;.ncd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>netgen -intstyle ise -s 2 -pcf &lt;fname&gt;.pcf -rpw 100 -tpw 0 -ar Structure -tm &lt;design&gt; -insert_pp_buffers true -w -dir netgen/par -ofmt vhdl -sim &lt;fname&gt;.ncd &lt;fname&gt;.vhd</xtag-cmdline></LI>
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
<LI><xtag-cmdline>xst -intstyle ise -ifn &lt;ise_file&gt;</xtag-cmdline></LI>
<LI><xtag-cmdline>ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc &lt;fname&gt;.ucf -p xc6slx45-csg324-2 &lt;fname&gt;.ngc &lt;fname&gt;.ngd</xtag-cmdline></LI>
<LI><xtag-cmdline>map -intstyle ise -p xc6slx45-csg324-2 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt area -equivalent_register_removal on -mt 2 -detail -ir off -pr off -lc area -power off -o &lt;fname&gt;.ncd &lt;fname&gt;.ngd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>par -w -intstyle ise -ol high -xe n -mt 4 &lt;fname&gt;.ncd &lt;fname&gt;.ncd &lt;fname&gt;.pcf</xtag-cmdline></LI>
<LI><xtag-cmdline>trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml &lt;fname&gt;.twx &lt;fname&gt;.ncd -o &lt;fname&gt;.twr &lt;fname&gt;.pcf -ucf &lt;fname&gt;.ucf</xtag-cmdline></LI>
<LI><xtag-cmdline>bitgen -intstyle ise -f &lt;fname&gt;.ut &lt;fname&gt;.ncd</xtag-cmdline></LI>
<LI><xtag-cmdline>fuse</xtag-cmdline></LI>
</xtag-section></UL></TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'><xtag-section name="RunStatistics"><TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=8><B>Software Quality</B></TD></TR><TR ALIGN=LEFT><TD COLSPAN=8><B>Run Statistics</B></TD></TR>
570,8 → 637,8
</tr>
<tr>
<td><xtag-program-name>bitgen</xtag-program-name></td>
<td><xtag-total-run-started>178</xtag-total-run-started></td>
<td><xtag-total-run-finished>178</xtag-total-run-finished></td>
<td><xtag-total-run-started>184</xtag-total-run-started></td>
<td><xtag-total-run-finished>184</xtag-total-run-finished></td>
<td><xtag-total-error>0</xtag-total-error></td>
<td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
<td><xtag-total-internal-error>0</xtag-total-internal-error></td>
630,8 → 697,8
</tr>
<tr>
<td><xtag-program-name>map</xtag-program-name></td>
<td><xtag-total-run-started>506</xtag-total-run-started></td>
<td><xtag-total-run-finished>490</xtag-total-run-finished></td>
<td><xtag-total-run-started>515</xtag-total-run-started></td>
<td><xtag-total-run-finished>499</xtag-total-run-finished></td>
<td><xtag-total-error>0</xtag-total-error></td>
<td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
<td><xtag-total-internal-error>0</xtag-total-internal-error></td>
640,8 → 707,8
</tr>
<tr>
<td><xtag-program-name>netgen</xtag-program-name></td>
<td><xtag-total-run-started>489</xtag-total-run-started></td>
<td><xtag-total-run-finished>481</xtag-total-run-finished></td>
<td><xtag-total-run-started>504</xtag-total-run-started></td>
<td><xtag-total-run-finished>496</xtag-total-run-finished></td>
<td><xtag-total-error>0</xtag-total-error></td>
<td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
<td><xtag-total-internal-error>0</xtag-total-internal-error></td>
660,8 → 727,8
</tr>
<tr>
<td><xtag-program-name>ngdbuild</xtag-program-name></td>
<td><xtag-total-run-started>550</xtag-total-run-started></td>
<td><xtag-total-run-finished>550</xtag-total-run-finished></td>
<td><xtag-total-run-started>561</xtag-total-run-started></td>
<td><xtag-total-run-finished>561</xtag-total-run-finished></td>
<td><xtag-total-error>0</xtag-total-error></td>
<td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
<td><xtag-total-internal-error>0</xtag-total-internal-error></td>
670,8 → 737,8
</tr>
<tr>
<td><xtag-program-name>par</xtag-program-name></td>
<td><xtag-total-run-started>522</xtag-total-run-started></td>
<td><xtag-total-run-finished>464</xtag-total-run-finished></td>
<td><xtag-total-run-started>531</xtag-total-run-started></td>
<td><xtag-total-run-finished>473</xtag-total-run-finished></td>
<td><xtag-total-error>14</xtag-total-error></td>
<td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
<td><xtag-total-internal-error>0</xtag-total-internal-error></td>
690,8 → 757,8
</tr>
<tr>
<td><xtag-program-name>trce</xtag-program-name></td>
<td><xtag-total-run-started>491</xtag-total-run-started></td>
<td><xtag-total-run-finished>491</xtag-total-run-finished></td>
<td><xtag-total-run-started>500</xtag-total-run-started></td>
<td><xtag-total-run-finished>500</xtag-total-run-finished></td>
<td><xtag-total-error>0</xtag-total-error></td>
<td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
<td><xtag-total-internal-error>0</xtag-total-internal-error></td>
720,8 → 787,8
</tr>
<tr>
<td><xtag-program-name>xst</xtag-program-name></td>
<td><xtag-total-run-started>848</xtag-total-run-started></td>
<td><xtag-total-run-finished>843</xtag-total-run-finished></td>
<td><xtag-total-run-started>878</xtag-total-run-started></td>
<td><xtag-total-run-finished>873</xtag-total-run-finished></td>
<td><xtag-total-error>0</xtag-total-error></td>
<td><xtag-total-fatal-error>0</xtag-total-fatal-error></td>
<td><xtag-total-internal-error>0</xtag-total-internal-error></td>
795,7 → 862,7
</TR><TR><TD><xtag-design-property-name>PROP_intProjectCreationTimestamp</xtag-design-property-name>=<xtag-design-property-value>2011-07-07T09:55:20</xtag-design-property-value></TD>
<TD><xtag-design-property-name>PROP_intWbtProjectID</xtag-design-property-name>=<xtag-design-property-value>2C5BE631B69F48AB8C2F24035AF7A13B</xtag-design-property-value></TD>
 
</TR><TR><TD><xtag-process-property-name>PROP_intWbtProjectIteration</xtag-process-property-name>=<xtag-process-property-value>1</xtag-process-property-value></TD>
</TR><TR><TD><xtag-process-property-name>PROP_intWbtProjectIteration</xtag-process-property-name>=<xtag-process-property-value>5</xtag-process-property-value></TD>
<TD><xtag-design-property-name>PROP_intWorkingDirLocWRTProjDir</xtag-design-property-name>=<xtag-design-property-value>Same</xtag-design-property-value></TD>
 
</TR><TR><TD><xtag-design-property-name>PROP_intWorkingDirUsed</xtag-design-property-name>=<xtag-design-property-value>No</xtag-design-property-value></TD>
804,7 → 871,7
</TR><TR><TD><xtag-process-property-name>PROP_selectedSimRootSourceNode_par</xtag-process-property-name>=<xtag-process-property-value>work.testbench</xtag-process-property-value></TD>
<TD><xtag-process-property-name>PROP_selectedSimRootSourceNode_translate</xtag-process-property-name>=<xtag-process-property-value>work.testbench</xtag-process-property-value></TD>
 
</TR><TR><TD><xtag-process-property-name>PROP_selectedSimSourceNode</xtag-process-property-name>=<xtag-process-property-value>inst_spi_master_atlys_top</xtag-process-property-value></TD>
</TR><TR><TD><xtag-process-property-name>PROP_selectedSimSourceNode</xtag-process-property-name>=<xtag-process-property-value>Inst_spi_master_atlys_top</xtag-process-property-value></TD>
<TD><xtag-process-property-name>PROP_xilxBitgStart_Clk_DriveDone</xtag-process-property-name>=<xtag-process-property-value>true</xtag-process-property-value></TD>
 
</TR><TR><TD><xtag-process-property-name>PROP_xilxMapReportDetail</xtag-process-property-name>=<xtag-process-property-value>true</xtag-process-property-value></TD>
845,68 → 912,70
<TR ALIGN=CENTER><TD COLSPAN=4><B><xtag-unisim-type-name>NGDBUILD_PRE_UNISIM_SUMMARY</xtag-unisim-type-name></B></TD></TR><TR>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_BUFG</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_BUFGP</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FD</xtag-preunisim-param-name>=<xtag-preunisim-param-value>83</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FD</xtag-preunisim-param-name>=<xtag-preunisim-param-value>97</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FDC</xtag-preunisim-param-name>=<xtag-preunisim-param-value>8</xtag-preunisim-param-value></TD>
</TR>
<TR>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FDE</xtag-preunisim-param-name>=<xtag-preunisim-param-value>111</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FDP_1</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FDR</xtag-preunisim-param-name>=<xtag-preunisim-param-value>10</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FDRE</xtag-preunisim-param-name>=<xtag-preunisim-param-value>4</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FD_1</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
</TR>
<TR>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_FD_1</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_GND</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_IBUF</xtag-preunisim-param-name>=<xtag-preunisim-param-value>14</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_INV</xtag-preunisim-param-name>=<xtag-preunisim-param-value>4</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT1</xtag-preunisim-param-name>=<xtag-preunisim-param-value>14</xtag-preunisim-param-value></TD>
</TR>
<TR>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT2</xtag-preunisim-param-name>=<xtag-preunisim-param-value>4</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT3</xtag-preunisim-param-name>=<xtag-preunisim-param-value>28</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT1</xtag-preunisim-param-name>=<xtag-preunisim-param-value>28</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT2</xtag-preunisim-param-name>=<xtag-preunisim-param-value>3</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT3</xtag-preunisim-param-name>=<xtag-preunisim-param-value>26</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT4</xtag-preunisim-param-name>=<xtag-preunisim-param-value>17</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT5</xtag-preunisim-param-name>=<xtag-preunisim-param-value>55</xtag-preunisim-param-value></TD>
</TR>
<TR>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT6</xtag-preunisim-param-name>=<xtag-preunisim-param-value>47</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_MUXCY</xtag-preunisim-param-name>=<xtag-preunisim-param-value>14</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT5</xtag-preunisim-param-name>=<xtag-preunisim-param-value>62</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_LUT6</xtag-preunisim-param-name>=<xtag-preunisim-param-value>55</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_MUXCY</xtag-preunisim-param-name>=<xtag-preunisim-param-value>28</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_MUXF7</xtag-preunisim-param-name>=<xtag-preunisim-param-value>4</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_OBUF</xtag-preunisim-param-name>=<xtag-preunisim-param-value>48</xtag-preunisim-param-value></TD>
</TR>
<TR>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_OBUF</xtag-preunisim-param-name>=<xtag-preunisim-param-value>48</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_VCC</xtag-preunisim-param-name>=<xtag-preunisim-param-value>1</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_XORCY</xtag-preunisim-param-name>=<xtag-preunisim-param-value>16</xtag-preunisim-param-value></TD>
<TD><xtag-preunisim-param-name>NGDBUILD_NUM_XORCY</xtag-preunisim-param-name>=<xtag-preunisim-param-value>30</xtag-preunisim-param-value></TD>
<TR ALIGN=CENTER><TD COLSPAN=4><B><xtag-unisim-type-name>NGDBUILD_POST_UNISIM_SUMMARY</xtag-unisim-type-name></B></TD></TR><TR>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_BUFG</xtag-postunisim-param-name>=<xtag-postunisim-param-value>2</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FD</xtag-postunisim-param-name>=<xtag-postunisim-param-value>83</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FD</xtag-postunisim-param-name>=<xtag-postunisim-param-value>97</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FDC</xtag-postunisim-param-name>=<xtag-postunisim-param-value>8</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FDE</xtag-postunisim-param-name>=<xtag-postunisim-param-value>111</xtag-postunisim-param-value></TD>
</TR>
<TR>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FDP_1</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FDR</xtag-postunisim-param-name>=<xtag-postunisim-param-value>10</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FDRE</xtag-postunisim-param-name>=<xtag-postunisim-param-value>4</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_FD_1</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_GND</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
</TR>
<TR>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_GND</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_IBUF</xtag-postunisim-param-name>=<xtag-postunisim-param-value>14</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_IBUFG</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_INV</xtag-postunisim-param-name>=<xtag-postunisim-param-value>4</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT1</xtag-postunisim-param-name>=<xtag-postunisim-param-value>14</xtag-postunisim-param-value></TD>
</TR>
<TR>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT2</xtag-postunisim-param-name>=<xtag-postunisim-param-value>4</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT3</xtag-postunisim-param-name>=<xtag-postunisim-param-value>28</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT1</xtag-postunisim-param-name>=<xtag-postunisim-param-value>28</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT2</xtag-postunisim-param-name>=<xtag-postunisim-param-value>3</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT3</xtag-postunisim-param-name>=<xtag-postunisim-param-value>26</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT4</xtag-postunisim-param-name>=<xtag-postunisim-param-value>17</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT5</xtag-postunisim-param-name>=<xtag-postunisim-param-value>55</xtag-postunisim-param-value></TD>
</TR>
<TR>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT6</xtag-postunisim-param-name>=<xtag-postunisim-param-value>47</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_MUXCY</xtag-postunisim-param-name>=<xtag-postunisim-param-value>14</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT5</xtag-postunisim-param-name>=<xtag-postunisim-param-value>62</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_LUT6</xtag-postunisim-param-name>=<xtag-postunisim-param-value>55</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_MUXCY</xtag-postunisim-param-name>=<xtag-postunisim-param-value>28</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_MUXF7</xtag-postunisim-param-name>=<xtag-postunisim-param-value>4</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_OBUF</xtag-postunisim-param-name>=<xtag-postunisim-param-value>48</xtag-postunisim-param-value></TD>
</TR>
<TR>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_OBUF</xtag-postunisim-param-name>=<xtag-postunisim-param-value>48</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_VCC</xtag-postunisim-param-name>=<xtag-postunisim-param-value>1</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_XORCY</xtag-postunisim-param-name>=<xtag-postunisim-param-value>16</xtag-postunisim-param-value></TD>
<TD><xtag-postunisim-param-name>NGDBUILD_NUM_XORCY</xtag-postunisim-param-name>=<xtag-postunisim-param-value>30</xtag-postunisim-param-value></TD>
</xtag-section></TABLE>
&nbsp;<BR></BODY></HTML>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'><xtag-section name="ISimStatistics"><TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=1><B>ISim Statistics</B></TD></TR><TR><TD><xtag-isim-property-name>Xilinx HDL Libraries Used</xtag-isim-property-name>=<xtag-isim-property-value>simprim</xtag-isim-property-value></TD></TR><TR><TD><xtag-isim-property-name>Fuse Resource Usage</xtag-isim-property-name>=<xtag-isim-property-value>2183 ms, 136068 KB</xtag-isim-property-value></TD></TR><TR><TD><xtag-isim-property-name>Total Signals</xtag-isim-property-name>=<xtag-isim-property-value>10373</xtag-isim-property-value></TD></TR><TR><TD><xtag-isim-property-name>Total Nets</xtag-isim-property-name>=<xtag-isim-property-value>7082</xtag-isim-property-value></TD></TR><TR><TD><xtag-isim-property-name>Total Blocks</xtag-isim-property-name>=<xtag-isim-property-value>2081</xtag-isim-property-value></TD></TR><TR><TD><xtag-isim-property-name>Total Processes</xtag-isim-property-name>=<xtag-isim-property-value>6468</xtag-isim-property-value></TD></TR><TR><TD><xtag-isim-property-name>Total Simulation Time</xtag-isim-property-name>=<xtag-isim-property-value>21100 ns</xtag-isim-property-value></TD></TR><TR><TD><xtag-isim-property-name>Simulation Resource Usage</xtag-isim-property-name>=<xtag-isim-property-value>4.55523 sec, 480030 KB</xtag-isim-property-value></TD></TR><TR><TD><xtag-isim-property-name>Simulation Mode</xtag-isim-property-name>=<xtag-isim-property-value>gui</xtag-isim-property-value></TD></TR><TR><TD><xtag-isim-property-name>Hardware CoSim</xtag-isim-property-name>=<xtag-isim-property-value>0</xtag-isim-property-value></TD></TR></xtag-section></TABLE></BODY></HTML>
/spi_master_slave/trunk/syn/spi_ms_atlys.gise
29,6 → 29,28
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/par.xmsgs"/>
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/trce.xmsgs"/>
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/xst.xmsgs"/>
<file xil_pn:fileType="FILE_LOG" xil_pn:name="fuse.log"/>
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="isim"/>
<file xil_pn:fileType="FILE_CMD" xil_pn:name="isim.cmd">
<branch xil_pn:name="BehavioralSim"/>
<branch xil_pn:name="PostTranslateSimulation"/>
<branch xil_pn:name="PostRouteSimulation"/>
</file>
<file xil_pn:fileType="FILE_LOG" xil_pn:name="isim.log">
<branch xil_pn:name="PostTranslateSimulation"/>
<branch xil_pn:name="PostRouteSimulation"/>
</file>
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="netgen"/>
<file xil_pn:branch="PostMapSimulation" xil_pn:fileType="FILE_NETGEN_REPORT" xil_pn:name="netgen/map/spi_master_atlys_top_map.nlf"/>
<file xil_pn:branch="PostMapSimulation" xil_pn:fileType="FILE_SDF" xil_pn:name="netgen/map/spi_master_atlys_top_map.sdf"/>
<file xil_pn:branch="PostMapSimulation" xil_pn:fileType="FILE_VHDL" xil_pn:name="netgen/map/spi_master_atlys_top_map.vhd"/>
<file xil_pn:branch="PostRouteSimulation" xil_pn:fileType="FILE_NETGEN_REPORT" xil_pn:name="netgen/par/spi_master_atlys_top_timesim.nlf"/>
<file xil_pn:branch="PostRouteSimulation" xil_pn:fileType="FILE_SDF" xil_pn:name="netgen/par/spi_master_atlys_top_timesim.sdf"/>
<file xil_pn:branch="PostRouteSimulation" xil_pn:fileType="FILE_VHDL" xil_pn:name="netgen/par/spi_master_atlys_top_timesim.vhd"/>
<file xil_pn:branch="PostSynthSim" xil_pn:fileType="FILE_NETGEN_REPORT" xil_pn:name="netgen/synthesis/spi_master_atlys_top_synthesis.nlf"/>
<file xil_pn:branch="PostSynthSim" xil_pn:fileType="FILE_VHDL" xil_pn:name="netgen/synthesis/spi_master_atlys_top_synthesis.vhd"/>
<file xil_pn:branch="PostTranslateSimulation" xil_pn:fileType="FILE_NETGEN_REPORT" xil_pn:name="netgen/translate/spi_master_atlys_top_translate.nlf"/>
<file xil_pn:branch="PostTranslateSimulation" xil_pn:fileType="FILE_VHDL" xil_pn:name="netgen/translate/spi_master_atlys_top_translate.vhd"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_REPORT" xil_pn:name="spi_master_atlys_top.bgn" xil_pn:subbranch="FPGAConfiguration"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BIT" xil_pn:name="spi_master_atlys_top.bit" xil_pn:subbranch="FPGAConfiguration"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGDBUILD_LOG" xil_pn:name="spi_master_atlys_top.bld"/>
52,7 → 74,9
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_REPORT" xil_pn:name="spi_master_atlys_top.ut" xil_pn:subbranch="FPGAConfiguration"/>
<file xil_pn:fileType="FILE_XPI" xil_pn:name="spi_master_atlys_top.xpi"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="spi_master_atlys_top.xst"/>
<file xil_pn:fileType="FILE_HTML" xil_pn:name="spi_master_atlys_top_envsettings.html"/>
<file xil_pn:fileType="FILE_NCD" xil_pn:name="spi_master_atlys_top_guide.ncd" xil_pn:origination="imported"/>
<file xil_pn:branch="PostTranslateSimulation" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="spi_master_atlys_top_isim_translate.exe"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="spi_master_atlys_top_map.map" xil_pn:subbranch="Map"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="spi_master_atlys_top_map.mrp" xil_pn:subbranch="Map"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NCD" xil_pn:name="spi_master_atlys_top_map.ncd" xil_pn:subbranch="Map"/>
63,107 → 87,318
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_EXCEL_REPORT" xil_pn:name="spi_master_atlys_top_pad.csv" xil_pn:subbranch="Par"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_TXT_REPORT" xil_pn:name="spi_master_atlys_top_pad.txt" xil_pn:subbranch="Par"/>
<file xil_pn:fileType="FILE_XRPT" xil_pn:name="spi_master_atlys_top_par.xrpt"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="spi_master_atlys_top_stx_beh.prj"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="spi_master_atlys_top_stx_translate.prj"/>
<file xil_pn:fileType="FILE_HTML" xil_pn:name="spi_master_atlys_top_summary.html"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="spi_master_atlys_top_summary.xml"/>
<file xil_pn:fileType="FILE_WEBTALK" xil_pn:name="spi_master_atlys_top_usage.xml"/>
<file xil_pn:fileType="FILE_XRPT" xil_pn:name="spi_master_atlys_top_xst.xrpt"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="testbench_beh.prj"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="testbench_isim_beh.exe"/>
<file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="testbench_isim_beh.wdb"/>
<file xil_pn:branch="PostRouteSimulation" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="testbench_isim_par.exe"/>
<file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="testbench_isim_par.wdb"/>
<file xil_pn:branch="PostTranslateSimulation" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="testbench_isim_translate.exe"/>
<file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="testbench_isim_translate.wdb"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="testbench_par.prj"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="testbench_stx_beh.prj"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="testbench_stx_par.prj"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="testbench_stx_translate.prj"/>
<file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="testbench_translate.prj"/>
<file xil_pn:fileType="FILE_HTML" xil_pn:name="usage_statistics_webtalk.html"/>
<file xil_pn:fileType="FILE_LOG" xil_pn:name="webtalk.log"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="webtalk_pn.xml"/>
<file xil_pn:fileType="FILE_INI" xil_pn:name="xilinxsim.ini">
<branch xil_pn:name="BehavioralSim"/>
<branch xil_pn:name="PostTranslateSimulation"/>
<branch xil_pn:name="PostRouteSimulation"/>
</file>
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xlnx_auto_0_xdb"/>
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xst"/>
</files>
 
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1313027771" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1313027771">
<transform xil_pn:end_ts="1314545579" xil_pn:name="TRAN_copyInitialToAbstractSimulation" xil_pn:start_ts="1314545579">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1313027771" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="3566399560241464054" xil_pn:start_ts="1313027771">
<transform xil_pn:end_ts="1314583834" xil_pn:in_ck="4343194839995565815" xil_pn:name="TRAN_copyAbstractToPostAbstractSimulation" xil_pn:start_ts="1314583834">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="spi_master_atlys_test.vhd"/>
<outfile xil_pn:name="spi_master_atlys_top.vhd"/>
</transform>
<transform xil_pn:end_ts="1313027771" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-2102355656976309210" xil_pn:start_ts="1313027771">
<transform xil_pn:end_ts="1314545589" xil_pn:name="TRAN_xawsToSimhdl" xil_pn:prop_ck="4434870115928851094" xil_pn:start_ts="1314545589">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1313027771" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1313027771">
<transform xil_pn:end_ts="1314545589" xil_pn:name="TRAN_schematicsToHdlSim" xil_pn:prop_ck="-1902104842233773292" xil_pn:start_ts="1314545589">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1313027771" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="-1280022453574249608" xil_pn:start_ts="1313027771">
<transform xil_pn:end_ts="1314545589" xil_pn:name="TRAN_regenerateCoresSim" xil_pn:prop_ck="-2102355656976309210" xil_pn:start_ts="1314545589">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1313027771" xil_pn:name="TRAN_SubProjectPreToStructuralProxy" xil_pn:prop_ck="8977612015756273942" xil_pn:start_ts="1313027771">
<transform xil_pn:end_ts="1314545589" xil_pn:in_ck="5277757373456505906" xil_pn:name="TRAN_copyPostAbstractToPreSimulation" xil_pn:start_ts="1314545589">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputAdded"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="spi_master_atlys_test.vhd"/>
</transform>
<transform xil_pn:end_ts="1313027771" xil_pn:name="TRAN_platgen" xil_pn:prop_ck="-8317595265581962832" xil_pn:start_ts="1313027771">
<transform xil_pn:end_ts="1314545598" xil_pn:in_ck="5277757373456505906" xil_pn:name="TRAN_ISimulateBehavioralModelRunFuse" xil_pn:prop_ck="1459423336703152140" xil_pn:start_ts="1314545589">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="fuse.log"/>
<outfile xil_pn:name="isim"/>
<outfile xil_pn:name="testbench_beh.prj"/>
<outfile xil_pn:name="testbench_isim_beh.exe"/>
<outfile xil_pn:name="xilinxsim.ini"/>
</transform>
<transform xil_pn:end_ts="1313027782" xil_pn:in_ck="-8247761554522826671" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="-8195216592062898977" xil_pn:start_ts="1313027771">
<transform xil_pn:end_ts="1314545598" xil_pn:in_ck="7130759491340027311" xil_pn:name="TRAN_ISimulateBehavioralModel" xil_pn:prop_ck="-5414671575160791934" xil_pn:start_ts="1314545598">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="isim.cmd"/>
<outfile xil_pn:name="testbench_isim_beh.wdb"/>
</transform>
<transform xil_pn:end_ts="1314586086" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1314586086">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1314586086" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="3566399560241464054" xil_pn:start_ts="1314586086">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1314586086" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-2102355656976309210" xil_pn:start_ts="1314586086">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1314586086" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1314586086">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1314586086" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="-1280022453574249608" xil_pn:start_ts="1314586086">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1314586086" xil_pn:name="TRAN_SubProjectPreToStructuralProxy" xil_pn:prop_ck="8977612015756273942" xil_pn:start_ts="1314586086">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1314586086" xil_pn:name="TRAN_platgen" xil_pn:prop_ck="-8317595265581962832" xil_pn:start_ts="1314586086">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1314587294" xil_pn:in_ck="-8247761554522826671" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="-8195216592062898977" xil_pn:start_ts="1314587285">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="OutputChanged"/>
<status xil_pn:value="OutputRemoved"/>
<outfile xil_pn:name="_xmsgs/xst.xmsgs"/>
<outfile xil_pn:name="spi_master_atlys_top.lso"/>
<outfile xil_pn:name="spi_master_atlys_top.ngc"/>
<outfile xil_pn:name="spi_master_atlys_top.ngr"/>
<outfile xil_pn:name="spi_master_atlys_top.prj"/>
<outfile xil_pn:name="spi_master_atlys_top.stx"/>
<outfile xil_pn:name="spi_master_atlys_top.syr"/>
<outfile xil_pn:name="spi_master_atlys_top.xst"/>
<outfile xil_pn:name="spi_master_atlys_top_stx_beh.prj"/>
<outfile xil_pn:name="spi_master_atlys_top_stx_translate.prj"/>
<outfile xil_pn:name="spi_master_atlys_top_xst.xrpt"/>
<outfile xil_pn:name="webtalk_pn.xml"/>
<outfile xil_pn:name="xst"/>
</transform>
<transform xil_pn:end_ts="1313027782" xil_pn:in_ck="-6344801126424831697" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="-4068456177828066131" xil_pn:start_ts="1313027782">
<transform xil_pn:end_ts="1314587294" xil_pn:in_ck="-6344801126424831697" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="-4068456177828066131" xil_pn:start_ts="1314587294">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1313027787" xil_pn:in_ck="-2449764723691034422" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="-177710677611610831" xil_pn:start_ts="1313027782">
<transform xil_pn:end_ts="1314587297" xil_pn:in_ck="-2449764723691034422" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="-177710677611610831" xil_pn:start_ts="1314587294">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="NotReadyToRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="_ngo"/>
<outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
<outfile xil_pn:name="spi_master_atlys_top.bld"/>
<outfile xil_pn:name="spi_master_atlys_top.ngd"/>
<outfile xil_pn:name="spi_master_atlys_top_ngdbuild.xrpt"/>
</transform>
<transform xil_pn:end_ts="1314587317" xil_pn:in_ck="-2449764723691034421" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="-1658770934691434356" xil_pn:start_ts="1314587297">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="_xmsgs/map.xmsgs"/>
<outfile xil_pn:name="spi_master_atlys_top.pcf"/>
<outfile xil_pn:name="spi_master_atlys_top_map.map"/>
<outfile xil_pn:name="spi_master_atlys_top_map.mrp"/>
<outfile xil_pn:name="spi_master_atlys_top_map.ncd"/>
<outfile xil_pn:name="spi_master_atlys_top_map.ngm"/>
<outfile xil_pn:name="spi_master_atlys_top_map.psr"/>
<outfile xil_pn:name="spi_master_atlys_top_map.xrpt"/>
<outfile xil_pn:name="spi_master_atlys_top_summary.xml"/>
<outfile xil_pn:name="spi_master_atlys_top_usage.xml"/>
</transform>
<transform xil_pn:end_ts="1314587335" xil_pn:in_ck="5633518429974504804" xil_pn:name="TRANEXT_par_spartan6" xil_pn:prop_ck="7846039340612803429" xil_pn:start_ts="1314587317">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="_xmsgs/par.xmsgs"/>
<outfile xil_pn:name="spi_master_atlys_top.ncd"/>
<outfile xil_pn:name="spi_master_atlys_top.pad"/>
<outfile xil_pn:name="spi_master_atlys_top.par"/>
<outfile xil_pn:name="spi_master_atlys_top.ptwx"/>
<outfile xil_pn:name="spi_master_atlys_top.unroutes"/>
<outfile xil_pn:name="spi_master_atlys_top.xpi"/>
<outfile xil_pn:name="spi_master_atlys_top_pad.csv"/>
<outfile xil_pn:name="spi_master_atlys_top_pad.txt"/>
<outfile xil_pn:name="spi_master_atlys_top_par.xrpt"/>
</transform>
<transform xil_pn:end_ts="1314587493" xil_pn:in_ck="-5988982649231273448" xil_pn:name="TRANEXT_bitFile_spartan6" xil_pn:prop_ck="7135157351517842893" xil_pn:start_ts="1314587477">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="_xmsgs/bitgen.xmsgs"/>
<outfile xil_pn:name="spi_master_atlys_top.bgn"/>
<outfile xil_pn:name="spi_master_atlys_top.bit"/>
<outfile xil_pn:name="spi_master_atlys_top.drc"/>
<outfile xil_pn:name="spi_master_atlys_top.ut"/>
<outfile xil_pn:name="usage_statistics_webtalk.html"/>
<outfile xil_pn:name="webtalk.log"/>
<outfile xil_pn:name="webtalk_pn.xml"/>
</transform>
<transform xil_pn:end_ts="1314586528" xil_pn:in_ck="-5988982649231273448" xil_pn:name="TRAN_postParSimModel" xil_pn:prop_ck="5598892574118791338" xil_pn:start_ts="1314586521">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="InputChanged"/>
<outfile xil_pn:name="netgen"/>
<outfile xil_pn:name="netgen/par/spi_master_atlys_top_timesim.nlf"/>
<outfile xil_pn:name="netgen/par/spi_master_atlys_top_timesim.sdf"/>
<outfile xil_pn:name="netgen/par/spi_master_atlys_top_timesim.vhd"/>
</transform>
<transform xil_pn:end_ts="1314584667" xil_pn:in_ck="-7029858421675272663" xil_pn:name="TRAN_copyPost-ParAbstractToPreSimulation" xil_pn:start_ts="1314584667">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputRemoved"/>
<status xil_pn:value="OutputRemoved"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="netgen/par/spi_master_atlys_top_timesim.sdf"/>
<outfile xil_pn:name="netgen/par/spi_master_atlys_top_timesim.vhd"/>
<outfile xil_pn:name="spi_master_atlys_test.vhd"/>
</transform>
<transform xil_pn:end_ts="1313027810" xil_pn:in_ck="-2449764723691034421" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="-1658770934691434356" xil_pn:start_ts="1313027787">
<transform xil_pn:end_ts="1314584674" xil_pn:in_ck="9156795390127265392" xil_pn:name="TRAN_ISimulatePostPlace&amp;RouteModelRunFuse" xil_pn:prop_ck="4831401045093024940" xil_pn:start_ts="1314584667">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="NotReadyToRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputRemoved"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/>
<status xil_pn:value="OutputRemoved"/>
<outfile xil_pn:name="fuse.log"/>
<outfile xil_pn:name="isim"/>
<outfile xil_pn:name="isim.log"/>
<outfile xil_pn:name="testbench_isim_par.exe"/>
<outfile xil_pn:name="testbench_par.prj"/>
<outfile xil_pn:name="xilinxsim.ini"/>
</transform>
<transform xil_pn:end_ts="1313027829" xil_pn:in_ck="5633518429974504804" xil_pn:name="TRANEXT_par_spartan6" xil_pn:prop_ck="7846039340612803429" xil_pn:start_ts="1313027810">
<transform xil_pn:end_ts="1314584674" xil_pn:in_ck="7130759509275896515" xil_pn:name="TRAN_ISimulatePostPlace&amp;RouteModel" xil_pn:prop_ck="-3956543683666394319" xil_pn:start_ts="1314584674">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="isim.cmd"/>
<outfile xil_pn:name="isim.log"/>
<outfile xil_pn:name="testbench_isim_par.wdb"/>
</transform>
<transform xil_pn:end_ts="1314587335" xil_pn:in_ck="-2449764723691034553" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416184" xil_pn:start_ts="1314587329">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="_xmsgs/trce.xmsgs"/>
<outfile xil_pn:name="spi_master_atlys_top.twr"/>
<outfile xil_pn:name="spi_master_atlys_top.twx"/>
</transform>
<transform xil_pn:end_ts="1314586520" xil_pn:in_ck="-2655376893977800779" xil_pn:name="TRAN_postMapSimModel" xil_pn:prop_ck="-119654110892640368" xil_pn:start_ts="1314586513">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="NotReadyToRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="InputChanged"/>
<outfile xil_pn:name="netgen"/>
<outfile xil_pn:name="netgen/map/spi_master_atlys_top_map.nlf"/>
<outfile xil_pn:name="netgen/map/spi_master_atlys_top_map.sdf"/>
<outfile xil_pn:name="netgen/map/spi_master_atlys_top_map.vhd"/>
</transform>
<transform xil_pn:end_ts="1314586512" xil_pn:in_ck="-5988982649231273316" xil_pn:name="TRAN_postXlateSimModel" xil_pn:prop_ck="4032524037721565697" xil_pn:start_ts="1314586510">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="InputChanged"/>
<outfile xil_pn:name="netgen"/>
<outfile xil_pn:name="netgen/translate/spi_master_atlys_top_translate.nlf"/>
<outfile xil_pn:name="netgen/translate/spi_master_atlys_top_translate.vhd"/>
</transform>
<transform xil_pn:end_ts="1314584431" xil_pn:in_ck="1721521412391114385" xil_pn:name="TRAN_copyPost-TranslateAbstractToPreSimulation" xil_pn:start_ts="1314584431">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputRemoved"/>
<status xil_pn:value="OutputRemoved"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="netgen/translate/spi_master_atlys_top_translate.vhd"/>
<outfile xil_pn:name="spi_master_atlys_test.vhd"/>
</transform>
<transform xil_pn:end_ts="1313027964" xil_pn:in_ck="-5988982649231273448" xil_pn:name="TRANEXT_bitFile_spartan6" xil_pn:prop_ck="7135157351517842893" xil_pn:start_ts="1313027946">
<transform xil_pn:end_ts="1314584434" xil_pn:in_ck="1721521412391114385" xil_pn:name="TRAN_ISimulatePostTranslateModelRunFuse" xil_pn:prop_ck="4831401045093024940" xil_pn:start_ts="1314584431">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="NotReadyToRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputRemoved"/>
<status xil_pn:value="OutputRemoved"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="fuse.log"/>
<outfile xil_pn:name="isim"/>
<outfile xil_pn:name="isim.log"/>
<outfile xil_pn:name="testbench_isim_translate.exe"/>
<outfile xil_pn:name="testbench_translate.prj"/>
<outfile xil_pn:name="xilinxsim.ini"/>
</transform>
<transform xil_pn:end_ts="1313027829" xil_pn:in_ck="-2449764723691034553" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416184" xil_pn:start_ts="1313027823">
<transform xil_pn:end_ts="1314584435" xil_pn:in_ck="-2373432107787769551" xil_pn:name="TRAN_ISimulatePostTranslateModel" xil_pn:prop_ck="-8441040086216995160" xil_pn:start_ts="1314584434">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="NotReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputRemoved"/>
<status xil_pn:value="OutputRemoved"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="isim.cmd"/>
<outfile xil_pn:name="isim.log"/>
<outfile xil_pn:name="testbench_isim_translate.wdb"/>
</transform>
<transform xil_pn:end_ts="1314586509" xil_pn:in_ck="-5988982649231273317" xil_pn:name="TRAN_postSynthesisSimModel" xil_pn:prop_ck="367852130939253958" xil_pn:start_ts="1314586508">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="InputChanged"/>
<outfile xil_pn:name="netgen"/>
<outfile xil_pn:name="netgen/synthesis/spi_master_atlys_top_synthesis.nlf"/>
<outfile xil_pn:name="netgen/synthesis/spi_master_atlys_top_synthesis.vhd"/>
</transform>
</transforms>
 
</generated_project>
/spi_master_slave/trunk/syn/spi_master_atlys.ucf
210,10 → 210,10
NET "m_state_o<1>" LOC = "R3"; # Bank = 2, Pin name = IO_L62P_D5, PMOD JB<2>, Sch name = JA-D0_P
NET "m_state_o<2>" LOC = "P6"; # Bank = 2, Pin name = IO_L64N_D9, PMOD JB<3>, Sch name = JA-D2_N
NET "m_state_o<3>" LOC = "N5"; # Bank = 2, Pin name = IO_L64P_D8, PMOD JB<4>, Sch name = JA-D2_P
# NET "s_state_o<0>" LOC = "V9"; # Bank = 2, Pin name = IO_L32N_GCLK28, PMOD JB<7>, Sch name = JA-CLK_N
# NET "s_state_o<1>" LOC = "T9"; # Bank = 2, Pin name = IO_L32P_GCLK29, PMOD JB<8>, Sch name = JA-CLK_P
# NET "s_state_o<2>" LOC = "V4"; # Bank = 2, Pin name = IO_L63N, PMOD JB<9>, Sch name = JA-D1_N
# NET "s_state_o<3>" LOC = "T4"; # Bank = 2, Pin name = IO_L63P, PMOD JB<10>, Sch name = JA-D1_P
NET "s_state_o<0>" LOC = "V9"; # Bank = 2, Pin name = IO_L32N_GCLK28, PMOD JB<7>, Sch name = JA-CLK_N
NET "s_state_o<1>" LOC = "T9"; # Bank = 2, Pin name = IO_L32P_GCLK29, PMOD JB<8>, Sch name = JA-CLK_P
NET "s_state_o<2>" LOC = "V4"; # Bank = 2, Pin name = IO_L63N, PMOD JB<9>, Sch name = JA-D1_N
NET "s_state_o<3>" LOC = "T4"; # Bank = 2, Pin name = IO_L63P, PMOD JB<10>, Sch name = JA-D1_P
 
# onboard VHDCI
# Channnel 1 connects to P signals, Channel 2 to N signals
/spi_master_slave/trunk/syn/spi_master_atlys_top_map.mrp
12,7 → 12,7
Target Package : csg324
Target Speed : -2
Mapper Version : spartan6 -- $Revision: 1.55 $
Mapped Date : Wed Aug 10 22:56:29 2011
Mapped Date : Mon Aug 29 00:08:18 2011
 
Design Summary
--------------
19,16 → 19,16
Number of errors: 0
Number of warnings: 0
Slice Logic Utilization:
Number of Slice Registers: 209 out of 54,576 1%
Number used as Flip Flops: 209
Number of Slice Registers: 224 out of 54,576 1%
Number used as Flip Flops: 224
Number used as Latches: 0
Number used as Latch-thrus: 0
Number used as AND/OR logics: 0
Number of Slice LUTs: 145 out of 27,288 1%
Number used as logic: 127 out of 27,288 1%
Number using O6 output only: 75
Number using O5 output only: 13
Number using O5 and O6: 39
Number of Slice LUTs: 177 out of 27,288 1%
Number used as logic: 167 out of 27,288 1%
Number using O6 output only: 112
Number using O5 output only: 28
Number using O5 and O6: 27
Number used as ROM: 0
Number used as Memory: 4 out of 6,408 1%
Number used as Dual Port RAM: 0
37,20 → 37,20
Number using O6 output only: 4
Number using O5 output only: 0
Number using O5 and O6: 0
Number used exclusively as route-thrus: 14
Number with same-slice register load: 12
Number used exclusively as route-thrus: 6
Number with same-slice register load: 4
Number with same-slice carry load: 2
Number with other load: 0
 
Slice Logic Distribution:
Number of occupied Slices: 91 out of 6,822 1%
Number of LUT Flip Flop pairs used: 225
Number with an unused Flip Flop: 49 out of 225 21%
Number with an unused LUT: 80 out of 225 35%
Number of fully used LUT-FF pairs: 96 out of 225 42%
Number of unique control sets: 25
Number of occupied Slices: 102 out of 6,822 1%
Number of LUT Flip Flop pairs used: 272
Number with an unused Flip Flop: 64 out of 272 23%
Number with an unused LUT: 95 out of 272 34%
Number of fully used LUT-FF pairs: 113 out of 272 41%
Number of unique control sets: 26
Number of slice register sites lost
to control set restrictions: 59 out of 54,576 1%
to control set restrictions: 68 out of 54,576 1%
 
A LUT Flip Flop pair for this architecture represents one LUT paired with
one Flip Flop within a slice. A control set is a unique combination of
60,7 → 60,7
 
IO Utilization:
Number of bonded IOBs: 63 out of 218 28%
Number of LOCed IOBs: 43 out of 63 68%
Number of LOCed IOBs: 47 out of 63 74%
 
Specific Feature Utilization:
Number of RAMB16BWERs: 0 out of 116 0%
87,10 → 87,10
Number of STARTUPs: 0 out of 1 0%
Number of SUSPEND_SYNCs: 0 out of 1 0%
 
Average Fanout of Non-Clock Nets: 2.81
Average Fanout of Non-Clock Nets: 3.18
 
Peak Memory Usage: 303 MB
Total REAL time to MAP completion: 19 secs
Peak Memory Usage: 298 MB
Total REAL time to MAP completion: 17 secs
Total CPU time to MAP completion (all processors): 17 secs
 
Table of Contents
142,8 → 142,8
1.260 Volts)
INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
(.mrp).
INFO:Place:834 - Only a subset of IOs are locked. Out of 63 IOs, 43 are locked
and 20 are not locked. If you would like to print the names of these IOs,
INFO:Place:834 - Only a subset of IOs are locked. Out of 63 IOs, 47 are locked
and 16 are not locked. If you would like to print the names of these IOs,
please set the environment variable XIL_PAR_DESIGN_CHECK_VERBOSE to 1.
INFO:Pack:1650 - Map created a placed design.
 
152,7 → 152,7
2 block(s) removed
2 block(s) optimized away
2 signal(s) removed
55 Block(s) redundant
87 Block(s) redundant
 
Section 5 - Removed Logic
-------------------------
179,6 → 179,13
 
Redundant Block(s):
TYPE BLOCK
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_cy<13>_rt
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_cy<12>_rt
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_cy<11>_rt
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_cy<10>_rt
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_cy<9>_rt
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_cy<8>_rt
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_cy<7>_rt
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_cy<6>_rt
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_cy<5>_rt
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_cy<4>_rt
185,6 → 192,13
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_cy<3>_rt
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_cy<2>_rt
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_cy<1>_rt
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_cy<13>_rt
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_cy<12>_rt
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_cy<11>_rt
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_cy<10>_rt
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_cy<9>_rt
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_cy<8>_rt
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_cy<7>_rt
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_cy<6>_rt
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_cy<5>_rt
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_cy<4>_rt
191,49 → 205,67
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_cy<3>_rt
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_cy<2>_rt
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_cy<1>_rt
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_xor<7>_rt
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_xor<7>_rt
INV ][1034_3_INV_0
INV ][269_110_INV_0
INV ][369_165_INV_0
INV ][373_170_INV_0
INV ][389_183_INV_0
INV ][397_190_INV_0
INV ][401_194_INV_0
INV ][402_198_INV_0
INV ][405_200_INV_0
INV ][409_205_INV_0
INV ][413_210_INV_0
INV ][417_215_INV_0
INV ][421_220_INV_0
INV ][425_225_INV_0
INV ][429_230_INV_0
INV ][441_243_INV_0
INV ][453_254_INV_0
INV ][461_261_INV_0
INV ][465_265_INV_0
INV ][469_269_INV_0
INV ][645_378_INV_0
INV ][649_383_INV_0
INV ][653_387_INV_0
INV ][657_391_INV_0
INV ][661_395_INV_0
INV ][665_399_INV_0
INV ][669_403_INV_0
INV ][673_407_INV_0
INV ][694_422_INV_0
INV ][729_448_INV_0
INV ][783_487_INV_0
INV ][791_494_INV_0
INV ][795_498_INV_0
INV ][799_503_INV_0
INV ][807_509_INV_0
INV ][840_536_INV_0
INV ][845_539_INV_0
INV ][882_563_INV_0
INV ][888_569_INV_0
INV ][923_599_INV_0
INV ][926_603_INV_0
LUT1 Inst_sw_debouncer/Mcount_cnt_reg_xor<14>_rt
LUT1 Inst_btn_debouncer/Mcount_cnt_reg_xor<14>_rt
INV ][1211_3_INV_0
INV ][1212_5_INV_0
INV ][335_42_INV_0
INV ][339_50_INV_0
INV ][343_55_INV_0
INV ][347_60_INV_0
INV ][351_65_INV_0
INV ][355_70_INV_0
INV ][359_75_INV_0
INV ][363_80_INV_0
INV ][395_115_INV_0
INV ][495_170_INV_0
INV ][496_174_INV_0
INV ][499_176_INV_0
INV ][515_193_INV_0
INV ][523_202_INV_0
INV ][527_207_INV_0
INV ][528_211_INV_0
INV ][531_213_INV_0
INV ][535_218_INV_0
INV ][539_223_INV_0
INV ][543_228_INV_0
INV ][547_233_INV_0
INV ][551_238_INV_0
INV ][555_243_INV_0
INV ][563_253_INV_0
INV ][567_257_INV_0
INV ][575_264_INV_0
INV ][579_268_INV_0
INV ][583_272_INV_0
INV ][587_276_INV_0
INV ][591_280_INV_0
INV ][595_284_INV_0
INV ][771_395_INV_0
INV ][775_400_INV_0
INV ][779_404_INV_0
INV ][783_408_INV_0
INV ][787_412_INV_0
INV ][791_416_INV_0
INV ][795_420_INV_0
INV ][799_424_INV_0
INV ][820_439_INV_0
INV ][825_443_INV_0
INV ][855_466_INV_0
INV ][859_471_INV_0
INV ][909_508_INV_0
INV ][917_517_INV_0
INV ][921_521_INV_0
INV ][925_527_INV_0
INV ][933_533_INV_0
INV ][966_562_INV_0
INV ][971_565_INV_0
INV ][1008_588_INV_0
INV ][1011_592_INV_0
INV ][1014_596_INV_0
INV ][1042_616_INV_0
INV ][1051_628_INV_0
INV ][1054_632_INV_0
INV ][1057_636_INV_0
 
Section 6 - IOB Properties
--------------------------
352,32 → 384,33
| Clock Signal | Reset Signal | Set Signal | Enable Signal | Slice Load Count | Bel Load Count |
+-----------------------------------------------------------------------------------------------------------------------------------+
| Inst_spi_master_port/spi_clk_reg_BUFG | | | | 6 | 11 |
| Inst_spi_master_port/spi_clk_reg_BUFG | | | lut1153_485 | 3 | 8 |
| Inst_spi_master_port/spi_clk_reg_BUFG | ][1032_0 | | | 2 | 3 |
| Inst_spi_master_port/spi_clk_reg_BUFG | ][IN_virtPIBox_530_660 | | | 1 | 1 |
| Inst_spi_master_port/spi_clk_reg_BUFG | | | lut1117_506 | 3 | 8 |
| Inst_spi_master_port/spi_clk_reg_BUFG | ][1209_0 | | | 2 | 2 |
| Inst_spi_master_port/spi_clk_reg_BUFG | ][IN_virtPIBox_574_736 | | | 1 | 2 |
+-----------------------------------------------------------------------------------------------------------------------------------+
| gclk_i_BUFGP | | | | 31 | 71 |
| gclk_i_BUFGP | | | | 36 | 85 |
| gclk_i_BUFGP | | | GLOBAL_LOGIC1 | 1 | 4 |
| gclk_i_BUFGP | | | ][210_37 | 1 | 8 |
| gclk_i_BUFGP | | | ][242_80 | 2 | 6 |
| gclk_i_BUFGP | | | ][402_198 | 2 | 8 |
| gclk_i_BUFGP | | | ][691_420 | 2 | 4 |
| gclk_i_BUFGP | | | lut403_108 | 1 | 2 |
| gclk_i_BUFGP | | | lut415_115 | 2 | 8 |
| gclk_i_BUFGP | | | lut456_132 | 2 | 8 |
| gclk_i_BUFGP | | | lut497_149 | 2 | 8 |
| gclk_i_BUFGP | | | lut539_168 | 2 | 8 |
| gclk_i_BUFGP | | | lut703_275 | 1 | 8 |
| gclk_i_BUFGP | | | lut825_325 | 2 | 6 |
| gclk_i_BUFGP | | | lut916_362 | 2 | 8 |
| gclk_i_BUFGP | | | lut958_381 | 2 | 8 |
| gclk_i_BUFGP | | | spi_wren_reg_m | 1 | 8 |
| gclk_i_BUFGP | | | ][336_48 | 2 | 8 |
| gclk_i_BUFGP | | | ][496_174 | 2 | 8 |
| gclk_i_BUFGP | | | ][528_211 | 2 | 8 |
| gclk_i_BUFGP | | | ][817_437 | 3 | 4 |
| gclk_i_BUFGP | | | lut263_47 | 2 | 6 |
| gclk_i_BUFGP | | | lut350_113 | 1 | 2 |
| gclk_i_BUFGP | | | lut362_120 | 2 | 8 |
| gclk_i_BUFGP | | | lut403_137 | 2 | 8 |
| gclk_i_BUFGP | | | lut444_154 | 2 | 8 |
| gclk_i_BUFGP | | | lut649_291 | 2 | 8 |
| gclk_i_BUFGP | | | lut772_342 | 2 | 6 |
| gclk_i_BUFGP | | | lut863_379 | 2 | 8 |
| gclk_i_BUFGP | | | lut905_398 | 3 | 8 |
| gclk_i_BUFGP | | | spi_wren_reg_m | 2 | 8 |
| gclk_i_BUFGP | | | spi_wren_reg_s | 1 | 2 |
| gclk_i_BUFGP | ][1032_0 | | | 4 | 6 |
| gclk_i_BUFGP | ][1209_0 | | | 2 | 6 |
| gclk_i_BUFGP | clear | | | 2 | 4 |
| gclk_i_BUFGP | spi_rst_reg | | ][691_420 | 1 | 4 |
| gclk_i_BUFGP | spi_rst_reg | | ][817_437 | 1 | 4 |
+-----------------------------------------------------------------------------------------------------------------------------------+
| ~Inst_spi_master_port/spi_clk_reg_BUFG | | | | 1 | 1 |
| ~Inst_spi_master_port/spi_clk_reg_BUFG | ][1209_0 | | | 1 | 1 |
+-----------------------------------------------------------------------------------------------------------------------------------+
 
Section 13 - Utilization by Hierarchy
385,11 → 418,11
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
| Module | Partition | Slices* | Slice Reg | LUTs | LUTRAM | BRAM/FIFO | DSP48A1 | BUFG | BUFIO | BUFR | DCM | PLL_ADV | Full Hierarchical Name |
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
| spi_master_atlys_top/ | | 64/121 | 71/209 | 109/119 | 0/4 | 0/0 | 0/0 | 1/2 | 0/0 | 0/0 | 0/0 | 0/0 | spi_master_atlys_top |
| +Inst_btn_debouncer | | 10/10 | 26/26 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | spi_master_atlys_top/Inst_btn_debouncer |
| +Inst_spi_master_port | | 18/18 | 45/45 | 2/2 | 2/2 | 0/0 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | spi_master_atlys_top/Inst_spi_master_port |
| +Inst_spi_slave_port | | 21/21 | 35/35 | 6/6 | 2/2 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | spi_master_atlys_top/Inst_spi_slave_port |
| +Inst_sw_debouncer | | 8/8 | 32/32 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | spi_master_atlys_top/Inst_sw_debouncer |
| spi_master_atlys_top/ | | 68/139 | 71/224 | 135/145 | 0/4 | 0/0 | 0/0 | 1/2 | 0/0 | 0/0 | 0/0 | 0/0 | spi_master_atlys_top |
| +Inst_btn_debouncer | | 14/14 | 33/33 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | spi_master_atlys_top/Inst_btn_debouncer |
| +Inst_spi_master_port | | 21/21 | 45/45 | 2/2 | 2/2 | 0/0 | 0/0 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | spi_master_atlys_top/Inst_spi_master_port |
| +Inst_spi_slave_port | | 23/23 | 36/36 | 6/6 | 2/2 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | spi_master_atlys_top/Inst_spi_slave_port |
| +Inst_sw_debouncer | | 13/13 | 39/39 | 1/1 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | 0/0 | spi_master_atlys_top/Inst_sw_debouncer |
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
 
* Slices can be packed with basic elements from multiple hierarchies.
/spi_master_slave/trunk/syn/spi_master_atlys_top_envsettings.html
16,44 → 16,44
<tr>
<td>PATHEXT</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
<td>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</td>
<td><font color=gray>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</font></td>
<td><font color=gray>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</font></td>
<td><font color=gray>.COM;<br>.EXE;<br>.BAT;<br>.CMD;<br>.VBS;<br>.VBE;<br>.JS;<br>.JSE;<br>.WSF;<br>.WSH;<br>.MSC</font></td>
</tr>
<tr>
<td>Path</td>
<td>C:\Xilinx\13.1\ISE_DS\ISE\\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\ISE\\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.1\ISE_DS\ISE\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\ISE\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.1\ISE_DS\common\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\common\lib\nt;<br>C:\Windows;<br>C:\csvn\bin\;<br>C:\csvn\Python25\;<br>C:\Program Files\Common Files\Microsoft Shared\Windows Live;<br>C:\Xilinx\11.1\PlanAhead\bin;<br>C:\Xilinx\11.1\common\bin\nt;<br>C:\Xilinx\11.1\ISE\bin\nt;<br>C:\Xilinx\11.1\ISE\lib\nt;<br>C:\Windows\system32;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files\Flash Magic;<br>C:\Cadence\Orcad_9.2.3\tools\Capture;<br>C:\Cadence\Orcad_9.2.3\tools\bin;<br>C:\Cadence\Orcad_9.2.3\tools\jre\bin;<br>C:\Cadence\Orcad_9.2.3\tools\fet\bin;<br>C:\Cadence\Orcad_9.2.3\tools\specctra\bin;<br>C:\Program Files\Altium Designer Winter 09\System;<br>C:\Program Files\Microsoft SQL Server\90\Tools\binn\;<br>C:\Program Files\Windows Live\Shared;<br>C:\Program Files\QuickTime\QTSystem\;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files\IDM Computer Solutions\UltraEdit\</td>
<td>C:\Xilinx\13.1\ISE_DS\ISE\\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\ISE\\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.1\ISE_DS\ISE\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\ISE\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.1\ISE_DS\common\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\common\lib\nt;<br>C:\Windows;<br>C:\csvn\bin\;<br>C:\csvn\Python25\;<br>C:\Program Files\Common Files\Microsoft Shared\Windows Live;<br>C:\Xilinx\11.1\PlanAhead\bin;<br>C:\Xilinx\11.1\common\bin\nt;<br>C:\Xilinx\11.1\ISE\bin\nt;<br>C:\Xilinx\11.1\ISE\lib\nt;<br>C:\Windows\system32;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files\Flash Magic;<br>C:\Cadence\Orcad_9.2.3\tools\Capture;<br>C:\Cadence\Orcad_9.2.3\tools\bin;<br>C:\Cadence\Orcad_9.2.3\tools\jre\bin;<br>C:\Cadence\Orcad_9.2.3\tools\fet\bin;<br>C:\Cadence\Orcad_9.2.3\tools\specctra\bin;<br>C:\Program Files\Altium Designer Winter 09\System;<br>C:\Program Files\Microsoft SQL Server\90\Tools\binn\;<br>C:\Program Files\Windows Live\Shared;<br>C:\Program Files\QuickTime\QTSystem\;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files\IDM Computer Solutions\UltraEdit\</td>
<td>C:\Xilinx\13.1\ISE_DS\ISE\\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\ISE\\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.1\ISE_DS\ISE\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\ISE\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.1\ISE_DS\common\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\common\lib\nt;<br>C:\Windows;<br>C:\csvn\bin\;<br>C:\csvn\Python25\;<br>C:\Program Files\Common Files\Microsoft Shared\Windows Live;<br>C:\Xilinx\11.1\PlanAhead\bin;<br>C:\Xilinx\11.1\common\bin\nt;<br>C:\Xilinx\11.1\ISE\bin\nt;<br>C:\Xilinx\11.1\ISE\lib\nt;<br>C:\Windows\system32;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files\Flash Magic;<br>C:\Cadence\Orcad_9.2.3\tools\Capture;<br>C:\Cadence\Orcad_9.2.3\tools\bin;<br>C:\Cadence\Orcad_9.2.3\tools\jre\bin;<br>C:\Cadence\Orcad_9.2.3\tools\fet\bin;<br>C:\Cadence\Orcad_9.2.3\tools\specctra\bin;<br>C:\Program Files\Altium Designer Winter 09\System;<br>C:\Program Files\Microsoft SQL Server\90\Tools\binn\;<br>C:\Program Files\Windows Live\Shared;<br>C:\Program Files\QuickTime\QTSystem\;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files\IDM Computer Solutions\UltraEdit\</td>
<td>C:\Xilinx\13.1\ISE_DS\ISE\\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\ISE\\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.1\ISE_DS\ISE\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\ISE\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.1\ISE_DS\common\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\common\lib\nt;<br>C:\Windows;<br>C:\csvn\bin\;<br>C:\csvn\Python25\;<br>C:\Program Files\Common Files\Microsoft Shared\Windows Live;<br>C:\Xilinx\11.1\PlanAhead\bin;<br>C:\Xilinx\11.1\common\bin\nt;<br>C:\Xilinx\11.1\ISE\bin\nt;<br>C:\Xilinx\11.1\ISE\lib\nt;<br>C:\Windows\system32;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files\Flash Magic;<br>C:\Cadence\Orcad_9.2.3\tools\Capture;<br>C:\Cadence\Orcad_9.2.3\tools\bin;<br>C:\Cadence\Orcad_9.2.3\tools\jre\bin;<br>C:\Cadence\Orcad_9.2.3\tools\fet\bin;<br>C:\Cadence\Orcad_9.2.3\tools\specctra\bin;<br>C:\Program Files\Altium Designer Winter 09\System;<br>C:\Program Files\Microsoft SQL Server\90\Tools\binn\;<br>C:\Program Files\Windows Live\Shared;<br>C:\Program Files\QuickTime\QTSystem\;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files\IDM Computer Solutions\UltraEdit\</td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\ISE\\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\ISE\\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.1\ISE_DS\ISE\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\ISE\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.1\ISE_DS\common\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\common\lib\nt;<br>C:\Windows;<br>C:\csvn\bin\;<br>C:\csvn\Python25\;<br>C:\Program Files\Common Files\Microsoft Shared\Windows Live;<br>C:\Xilinx\11.1\PlanAhead\bin;<br>C:\Xilinx\11.1\common\bin\nt;<br>C:\Xilinx\11.1\ISE\bin\nt;<br>C:\Xilinx\11.1\ISE\lib\nt;<br>C:\Windows\system32;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files\Flash Magic;<br>C:\Cadence\Orcad_9.2.3\tools\Capture;<br>C:\Cadence\Orcad_9.2.3\tools\bin;<br>C:\Cadence\Orcad_9.2.3\tools\jre\bin;<br>C:\Cadence\Orcad_9.2.3\tools\fet\bin;<br>C:\Cadence\Orcad_9.2.3\tools\specctra\bin;<br>C:\Program Files\Altium Designer Winter 09\System;<br>C:\Program Files\Microsoft SQL Server\90\Tools\binn\;<br>C:\Program Files\Windows Live\Shared;<br>C:\Program Files\QuickTime\QTSystem\;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files\IDM Computer Solutions\UltraEdit\</font></td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\ISE\\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\ISE\\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.1\ISE_DS\ISE\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\ISE\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.1\ISE_DS\common\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\common\lib\nt;<br>C:\Windows;<br>C:\csvn\bin\;<br>C:\csvn\Python25\;<br>C:\Program Files\Common Files\Microsoft Shared\Windows Live;<br>C:\Xilinx\11.1\PlanAhead\bin;<br>C:\Xilinx\11.1\common\bin\nt;<br>C:\Xilinx\11.1\ISE\bin\nt;<br>C:\Xilinx\11.1\ISE\lib\nt;<br>C:\Windows\system32;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files\Flash Magic;<br>C:\Cadence\Orcad_9.2.3\tools\Capture;<br>C:\Cadence\Orcad_9.2.3\tools\bin;<br>C:\Cadence\Orcad_9.2.3\tools\jre\bin;<br>C:\Cadence\Orcad_9.2.3\tools\fet\bin;<br>C:\Cadence\Orcad_9.2.3\tools\specctra\bin;<br>C:\Program Files\Altium Designer Winter 09\System;<br>C:\Program Files\Microsoft SQL Server\90\Tools\binn\;<br>C:\Program Files\Windows Live\Shared;<br>C:\Program Files\QuickTime\QTSystem\;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files\IDM Computer Solutions\UltraEdit\</font></td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\ISE\\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\ISE\\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\PlanAhead\bin;<br>C:\Xilinx\13.1\ISE_DS\ISE\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\ISE\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\lib\nt;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnu\microblaze\nt\bin;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;<br>C:\Xilinx\13.1\ISE_DS\EDK\gnuwin\bin;<br>C:\Xilinx\13.1\ISE_DS\common\bin\nt;<br>C:\Xilinx\13.1\ISE_DS\common\lib\nt;<br>C:\Windows;<br>C:\csvn\bin\;<br>C:\csvn\Python25\;<br>C:\Program Files\Common Files\Microsoft Shared\Windows Live;<br>C:\Xilinx\11.1\PlanAhead\bin;<br>C:\Xilinx\11.1\common\bin\nt;<br>C:\Xilinx\11.1\ISE\bin\nt;<br>C:\Xilinx\11.1\ISE\lib\nt;<br>C:\Windows\system32;<br>C:\Windows\System32\Wbem;<br>C:\Windows\System32\WindowsPowerShell\v1.0\;<br>C:\Program Files\Flash Magic;<br>C:\Cadence\Orcad_9.2.3\tools\Capture;<br>C:\Cadence\Orcad_9.2.3\tools\bin;<br>C:\Cadence\Orcad_9.2.3\tools\jre\bin;<br>C:\Cadence\Orcad_9.2.3\tools\fet\bin;<br>C:\Cadence\Orcad_9.2.3\tools\specctra\bin;<br>C:\Program Files\Altium Designer Winter 09\System;<br>C:\Program Files\Microsoft SQL Server\90\Tools\binn\;<br>C:\Program Files\Windows Live\Shared;<br>C:\Program Files\QuickTime\QTSystem\;<br>C:\Program Files\TortoiseSVN\bin;<br>C:\Program Files\IDM Computer Solutions\UltraEdit\</font></td>
</tr>
<tr>
<td>XILINX</td>
<td>C:\Xilinx\13.1\ISE_DS\ISE\</td>
<td>C:\Xilinx\13.1\ISE_DS\ISE\</td>
<td>C:\Xilinx\13.1\ISE_DS\ISE\</td>
<td>C:\Xilinx\13.1\ISE_DS\ISE\</td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\ISE\</font></td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\ISE\</font></td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\ISE\</font></td>
</tr>
<tr>
<td>XILINX_DSP</td>
<td>C:\Xilinx\13.1\ISE_DS\ISE</td>
<td>C:\Xilinx\13.1\ISE_DS\ISE</td>
<td>C:\Xilinx\13.1\ISE_DS\ISE</td>
<td>C:\Xilinx\13.1\ISE_DS\ISE</td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\ISE</font></td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\ISE</font></td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\ISE</font></td>
</tr>
<tr>
<td>XILINX_EDK</td>
<td>C:\Xilinx\13.1\ISE_DS\EDK</td>
<td>C:\Xilinx\13.1\ISE_DS\EDK</td>
<td>C:\Xilinx\13.1\ISE_DS\EDK</td>
<td>C:\Xilinx\13.1\ISE_DS\EDK</td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\EDK</font></td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\EDK</font></td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\EDK</font></td>
</tr>
<tr>
<td>XILINX_PLANAHEAD</td>
<td>C:\Xilinx\13.1\ISE_DS\PlanAhead</td>
<td>C:\Xilinx\13.1\ISE_DS\PlanAhead</td>
<td>C:\Xilinx\13.1\ISE_DS\PlanAhead</td>
<td>C:\Xilinx\13.1\ISE_DS\PlanAhead</td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\PlanAhead</font></td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\PlanAhead</font></td>
<td><font color=gray>C:\Xilinx\13.1\ISE_DS\PlanAhead</font></td>
</tr>
</TABLE>
<A NAME="Synthesis Property Settings"></A>
350,28 → 350,28
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-intstyle</td>
<td>&nbsp;</td>
<td>ise</td>
<td>None</td>
<td><font color=gray>-intstyle</font></td>
<td><font color=gray>&nbsp;</font></td>
<td><font color=gray>ise</font></td>
<td><font color=gray>None</font></td>
</tr>
<tr>
<td>-dd</td>
<td>&nbsp;</td>
<td>_ngo</td>
<td>None</td>
<td><font color=gray>-dd</font></td>
<td><font color=gray>&nbsp;</font></td>
<td><font color=gray>_ngo</font></td>
<td><font color=gray>None</font></td>
</tr>
<tr>
<td>-p</td>
<td>&nbsp;</td>
<td>xc6slx45-csg324-2</td>
<td>None</td>
<td><font color=gray>-p</font></td>
<td><font color=gray>&nbsp;</font></td>
<td><font color=gray>xc6slx45-csg324-2</font></td>
<td><font color=gray>None</font></td>
</tr>
<tr>
<td>-uc</td>
<td>&nbsp;</td>
<td>spi_master_atlys.ucf</td>
<td>None</td>
<td><font color=gray>-uc</font></td>
<td><font color=gray>&nbsp;</font></td>
<td><font color=gray>spi_master_atlys.ucf</font></td>
<td><font color=gray>None</font></td>
</tr>
</TABLE>
<A NAME="Map Property Settings"></A>
386,100 → 386,100
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-detail</td>
<td>Generate Detailed MAP Report</td>
<td>TRUE</td>
<td>TRUE</td>
<td><font color=gray>-detail</font></td>
<td><font color=gray>Generate Detailed MAP Report</font></td>
<td><font color=gray>TRUE</font></td>
<td><font color=gray>TRUE</font></td>
</tr>
<tr>
<td>-ol</td>
<td>Place & Route Effort Level (Overall)</td>
<td>high</td>
<td>high</td>
<td><font color=gray>-ol</font></td>
<td><font color=gray>Place & Route Effort Level (Overall)</font></td>
<td><font color=gray>high</font></td>
<td><font color=gray>high</font></td>
</tr>
<tr>
<td>-xe</td>
<td>Placer Extra Effort Map</td>
<td>NORMAL</td>
<td>&nbsp;</td>
<td><font color=gray>-xe</font></td>
<td><font color=gray>Placer Extra Effort Map</font></td>
<td><font color=gray>NORMAL</font></td>
<td><font color=gray>&nbsp;</font></td>
</tr>
<tr>
<td>-xt</td>
<td>Extra Cost Tables</td>
<td>0</td>
<td>0</td>
<td><font color=gray>-xt</font></td>
<td><font color=gray>Extra Cost Tables</font></td>
<td><font color=gray>0</font></td>
<td><font color=gray>0</font></td>
</tr>
<tr>
<td>-global_opt</td>
<td>Global Optimization map</td>
<td>TRUE</td>
<td>FALSE</td>
<td><font color=gray>-global_opt</font></td>
<td><font color=gray>Global Optimization map</font></td>
<td><font color=gray>TRUE</font></td>
<td><font color=gray>FALSE</font></td>
</tr>
<tr>
<td>-ir</td>
<td>Use RLOC Constraints</td>
<td>OFF</td>
<td>OFF</td>
<td><font color=gray>-ir</font></td>
<td><font color=gray>Use RLOC Constraints</font></td>
<td><font color=gray>OFF</font></td>
<td><font color=gray>OFF</font></td>
</tr>
<tr>
<td>-mt</td>
<td>Enable Multi-Threading</td>
<td>2</td>
<td>0</td>
<td><font color=gray>-mt</font></td>
<td><font color=gray>Enable Multi-Threading</font></td>
<td><font color=gray>2</font></td>
<td><font color=gray>0</font></td>
</tr>
<tr>
<td>-t</td>
<td>Starting Placer Cost Table (1-100) Map</td>
<td>1</td>
<td>0</td>
<td><font color=gray>-t</font></td>
<td><font color=gray>Starting Placer Cost Table (1-100) Map</font></td>
<td><font color=gray>1</font></td>
<td><font color=gray>0</font></td>
</tr>
<tr>
<td>-r</td>
<td>Register Ordering</td>
<td>4</td>
<td>4</td>
<td><font color=gray>-r</font></td>
<td><font color=gray>Register Ordering</font></td>
<td><font color=gray>4</font></td>
<td><font color=gray>4</font></td>
</tr>
<tr>
<td>-equivalent_register_removal</td>
<td>Equivalent Register Removal</td>
<td>TRUE</td>
<td>TRUE</td>
<td><font color=gray>-equivalent_register_removal</font></td>
<td><font color=gray>Equivalent Register Removal</font></td>
<td><font color=gray>TRUE</font></td>
<td><font color=gray>TRUE</font></td>
</tr>
<tr>
<td>-intstyle</td>
<td>&nbsp;</td>
<td>ise</td>
<td>None</td>
<td><font color=gray>-intstyle</font></td>
<td><font color=gray>&nbsp;</font></td>
<td><font color=gray>ise</font></td>
<td><font color=gray>None</font></td>
</tr>
<tr>
<td>-lc</td>
<td>LUT Combining</td>
<td>area</td>
<td>off</td>
<td><font color=gray>-lc</font></td>
<td><font color=gray>LUT Combining</font></td>
<td><font color=gray>area</font></td>
<td><font color=gray>off</font></td>
</tr>
<tr>
<td>-o</td>
<td>&nbsp;</td>
<td>spi_master_atlys_top_map.ncd</td>
<td>None</td>
<td><font color=gray>-o</font></td>
<td><font color=gray>&nbsp;</font></td>
<td><font color=gray>spi_master_atlys_top_map.ncd</font></td>
<td><font color=gray>None</font></td>
</tr>
<tr>
<td>-w</td>
<td>&nbsp;</td>
<td>true</td>
<td>false</td>
<td><font color=gray>-w</font></td>
<td><font color=gray>&nbsp;</font></td>
<td><font color=gray>true</font></td>
<td><font color=gray>false</font></td>
</tr>
<tr>
<td>-pr</td>
<td>Pack I/O Registers/Latches into IOBs</td>
<td>off</td>
<td>off</td>
<td><font color=gray>-pr</font></td>
<td><font color=gray>Pack I/O Registers/Latches into IOBs</font></td>
<td><font color=gray>off</font></td>
<td><font color=gray>off</font></td>
</tr>
<tr>
<td>-p</td>
<td>&nbsp;</td>
<td>xc6slx45-csg324-2</td>
<td>None</td>
<td><font color=gray>-p</font></td>
<td><font color=gray>&nbsp;</font></td>
<td><font color=gray>xc6slx45-csg324-2</font></td>
<td><font color=gray>None</font></td>
</tr>
</TABLE>
<A NAME="Place and Route Property Settings"></A>
494,34 → 494,34
<td><b>Default Value</b></td>
</tr>
<tr>
<td>-xe</td>
<td>&nbsp;</td>
<td>n</td>
<td>None</td>
<td><font color=gray>-xe</font></td>
<td><font color=gray>&nbsp;</font></td>
<td><font color=gray>n</font></td>
<td><font color=gray>None</font></td>
</tr>
<tr>
<td>-intstyle</td>
<td>&nbsp;</td>
<td>ise</td>
<td>&nbsp;</td>
<td><font color=gray>-intstyle</font></td>
<td><font color=gray>&nbsp;</font></td>
<td><font color=gray>ise</font></td>
<td><font color=gray>&nbsp;</font></td>
</tr>
<tr>
<td>-mt</td>
<td>Enable Multi-Threading</td>
<td>4</td>
<td>off</td>
<td><font color=gray>-mt</font></td>
<td><font color=gray>Enable Multi-Threading</font></td>
<td><font color=gray>4</font></td>
<td><font color=gray>off</font></td>
</tr>
<tr>
<td>-ol</td>
<td>Place & Route Effort Level (Overall)</td>
<td>high</td>
<td>std</td>
<td><font color=gray>-ol</font></td>
<td><font color=gray>Place & Route Effort Level (Overall)</font></td>
<td><font color=gray>high</font></td>
<td><font color=gray>std</font></td>
</tr>
<tr>
<td>-w</td>
<td>&nbsp;</td>
<td>true</td>
<td>false</td>
<td><font color=gray>-w</font></td>
<td><font color=gray>&nbsp;</font></td>
<td><font color=gray>true</font></td>
<td><font color=gray>false</font></td>
</tr>
</TABLE>
<A NAME="Operating System Information"></A>
539,30 → 539,30
<tr>
<td>CPU Architecture/Speed</td>
<td>Intel(R) Core(TM) i7 CPU 950 @ 3.07GHz/3066 MHz</td>
<td>Intel(R) Core(TM) i7 CPU 950 @ 3.07GHz/3066 MHz</td>
<td>Intel(R) Core(TM) i7 CPU 950 @ 3.07GHz/3066 MHz</td>
<td>Intel(R) Core(TM) i7 CPU 950 @ 3.07GHz/3066 MHz</td>
<td><font color=gray>Intel(R) Core(TM) i7 CPU 950 @ 3.07GHz/3066 MHz</font></td>
<td><font color=gray>Intel(R) Core(TM) i7 CPU 950 @ 3.07GHz/3066 MHz</font></td>
<td><font color=gray>Intel(R) Core(TM) i7 CPU 950 @ 3.07GHz/3066 MHz</font></td>
</tr>
<tr>
<td>Host</td>
<td>Develop-W7</td>
<td>Develop-W7</td>
<td>Develop-W7</td>
<td>Develop-W7</td>
<td><font color=gray>Develop-W7</font></td>
<td><font color=gray>Develop-W7</font></td>
<td><font color=gray>Develop-W7</font></td>
</tr>
<tr>
<td>OS Name</td>
<td>Microsoft Windows 7 , 32-bit</td>
<td>Microsoft Windows 7 , 32-bit</td>
<td>Microsoft Windows 7 , 32-bit</td>
<td>Microsoft Windows 7 , 32-bit</td>
<td><font color=gray>Microsoft Windows 7 , 32-bit</font></td>
<td><font color=gray>Microsoft Windows 7 , 32-bit</font></td>
<td><font color=gray>Microsoft Windows 7 , 32-bit</font></td>
</tr>
<tr>
<td>OS Release</td>
<td>Service Pack 1 (build 7601)</td>
<td>Service Pack 1 (build 7601)</td>
<td>Service Pack 1 (build 7601)</td>
<td>Service Pack 1 (build 7601)</td>
<td><font color=gray>Service Pack 1 (build 7601)</font></td>
<td><font color=gray>Service Pack 1 (build 7601)</font></td>
<td><font color=gray>Service Pack 1 (build 7601)</font></td>
</tr>
</TABLE>
</BODY> </HTML>
/spi_master_slave/trunk/syn/spi_master_atlys_test.vhd
91,22 → 91,23
-- connects to 4 spi signals
-- connects to 8 board LEDs
-- connects to 12 debug pins
inst_spi_master_atlys_top: spi_master_atlys_top
port map(
gclk_i => sysclk,
spi_ssel_o => spi_ssel,
spi_sck_o => spi_sck,
spi_mosi_o => spi_mosi,
spi_miso_o => spi_miso,
sw_i => sw_data,
btn_i => btn_data,
led_o => leds,
m_do_o => m_do_reg,
s_do_o => s_do_reg,
m_state_o => master_state,
s_state_o => slave_state,
dbg_o => dbg
);
-- set debounce time to 2 us to save simulation time
Inst_spi_master_atlys_top: spi_master_atlys_top
port map(
gclk_i => sysclk,
spi_ssel_o => spi_ssel,
spi_sck_o => spi_sck,
spi_mosi_o => spi_mosi,
spi_miso_o => spi_miso,
sw_i => sw_data,
btn_i => btn_data,
led_o => leds,
m_do_o => m_do_reg,
s_do_o => s_do_reg,
m_state_o => master_state,
s_state_o => slave_state,
dbg_o => dbg
);
 
-- master signals mapped on dbg
wren_m <= dbg(11);
144,7 → 145,7
btn_data(btUP) <= '0';
sw_data <= X"81";
wait for 5 us;
sw_data <= X"C1";
sw_data <= X"65";
wait for 5 us;
sw_data <= X"C9";
wait for 5 us;
/spi_master_slave/trunk/syn/spi_master_atlys_top.drc
1,7 → 1,7
Release 13.1 Drc O.40d (nt)
Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
 
Wed Aug 10 22:59:10 2011
Mon Aug 29 00:11:21 2011
 
drc -z spi_master_atlys_top.ncd spi_master_atlys_top.pcf
 
/spi_master_slave/trunk/syn/spi_master_atlys_top_map.map
12,7 → 12,7
Target Package : csg324
Target Speed : -2
Mapper Version : spartan6 -- $Revision: 1.55 $
Mapped Date : Wed Aug 10 22:56:29 2011
Mapped Date : Mon Aug 29 00:08:18 2011
 
Running global optimization...
Mapping design into LUTs...
22,57 → 22,57
INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
(.mrp).
Running timing-driven placement...
Total REAL time at the beginning of Placer: 10 secs
Total REAL time at the beginning of Placer: 9 secs
Total CPU time at the beginning of Placer: 9 secs
 
Phase 1.1 Initial Placement Analysis
Phase 1.1 Initial Placement Analysis (Checksum:542d7d4b) REAL time: 11 secs
Phase 1.1 Initial Placement Analysis (Checksum:7388cd6e) REAL time: 10 secs
 
Phase 2.7 Design Feasibility Check
INFO:Place:834 - Only a subset of IOs are locked. Out of 63 IOs, 43 are locked
and 20 are not locked. If you would like to print the names of these IOs,
INFO:Place:834 - Only a subset of IOs are locked. Out of 63 IOs, 47 are locked
and 16 are not locked. If you would like to print the names of these IOs,
please set the environment variable XIL_PAR_DESIGN_CHECK_VERBOSE to 1.
Phase 2.7 Design Feasibility Check (Checksum:542d7d4b) REAL time: 11 secs
Phase 2.7 Design Feasibility Check (Checksum:7388cd6e) REAL time: 10 secs
 
Phase 3.31 Local Placement Optimization
Phase 3.31 Local Placement Optimization (Checksum:542d7d4b) REAL time: 11 secs
Phase 3.31 Local Placement Optimization (Checksum:7388cd6e) REAL time: 10 secs
 
Phase 4.2 Initial Placement for Architecture Specific Features
...
Phase 4.2 Initial Placement for Architecture Specific Features
(Checksum:23369eb) REAL time: 16 secs
(Checksum:e9015cfe) REAL time: 14 secs
 
Phase 5.36 Local Placement Optimization
Phase 5.36 Local Placement Optimization (Checksum:23369eb) REAL time: 16 secs
Phase 5.36 Local Placement Optimization (Checksum:e9015cfe) REAL time: 14 secs
 
Phase 6.30 Global Clock Region Assignment
Phase 6.30 Global Clock Region Assignment (Checksum:23369eb) REAL time: 16 secs
Phase 6.30 Global Clock Region Assignment (Checksum:e9015cfe) REAL time: 14 secs
 
Phase 7.3 Local Placement Optimization
...
Phase 7.3 Local Placement Optimization (Checksum:c4747df0) REAL time: 16 secs
Phase 7.3 Local Placement Optimization (Checksum:fcc976fb) REAL time: 15 secs
 
Phase 8.5 Local Placement Optimization
Phase 8.5 Local Placement Optimization (Checksum:c4747df0) REAL time: 16 secs
Phase 8.5 Local Placement Optimization (Checksum:fcc976fb) REAL time: 15 secs
 
Phase 9.8 Global Placement
...........
.....
Phase 9.8 Global Placement (Checksum:55e2a6f9) REAL time: 17 secs
..
..
Phase 9.8 Global Placement (Checksum:4a08930d) REAL time: 15 secs
 
Phase 10.5 Local Placement Optimization
Phase 10.5 Local Placement Optimization (Checksum:55e2a6f9) REAL time: 17 secs
Phase 10.5 Local Placement Optimization (Checksum:4a08930d) REAL time: 15 secs
 
Phase 11.18 Placement Optimization
Phase 11.18 Placement Optimization (Checksum:402bf1c7) REAL time: 18 secs
Phase 11.18 Placement Optimization (Checksum:1a1797e0) REAL time: 16 secs
 
Phase 12.5 Local Placement Optimization
Phase 12.5 Local Placement Optimization (Checksum:402bf1c7) REAL time: 18 secs
Phase 12.5 Local Placement Optimization (Checksum:1a1797e0) REAL time: 16 secs
 
Phase 13.34 Placement Validation
Phase 13.34 Placement Validation (Checksum:55d3da5) REAL time: 18 secs
Phase 13.34 Placement Validation (Checksum:bc560c6c) REAL time: 16 secs
 
Total REAL time to Placer completion: 18 secs
Total REAL time to Placer completion: 16 secs
Total CPU time to Placer completion: 16 secs
Running post-placement packing...
Writing output files...
84,16 → 84,16
Number of errors: 0
Number of warnings: 0
Slice Logic Utilization:
Number of Slice Registers: 209 out of 54,576 1%
Number used as Flip Flops: 209
Number of Slice Registers: 224 out of 54,576 1%
Number used as Flip Flops: 224
Number used as Latches: 0
Number used as Latch-thrus: 0
Number used as AND/OR logics: 0
Number of Slice LUTs: 145 out of 27,288 1%
Number used as logic: 127 out of 27,288 1%
Number using O6 output only: 75
Number using O5 output only: 13
Number using O5 and O6: 39
Number of Slice LUTs: 177 out of 27,288 1%
Number used as logic: 167 out of 27,288 1%
Number using O6 output only: 112
Number using O5 output only: 28
Number using O5 and O6: 27
Number used as ROM: 0
Number used as Memory: 4 out of 6,408 1%
Number used as Dual Port RAM: 0
102,20 → 102,20
Number using O6 output only: 4
Number using O5 output only: 0
Number using O5 and O6: 0
Number used exclusively as route-thrus: 14
Number with same-slice register load: 12
Number used exclusively as route-thrus: 6
Number with same-slice register load: 4
Number with same-slice carry load: 2
Number with other load: 0
 
Slice Logic Distribution:
Number of occupied Slices: 91 out of 6,822 1%
Number of LUT Flip Flop pairs used: 225
Number with an unused Flip Flop: 49 out of 225 21%
Number with an unused LUT: 80 out of 225 35%
Number of fully used LUT-FF pairs: 96 out of 225 42%
Number of unique control sets: 25
Number of occupied Slices: 102 out of 6,822 1%
Number of LUT Flip Flop pairs used: 272
Number with an unused Flip Flop: 64 out of 272 23%
Number with an unused LUT: 95 out of 272 34%
Number of fully used LUT-FF pairs: 113 out of 272 41%
Number of unique control sets: 26
Number of slice register sites lost
to control set restrictions: 59 out of 54,576 1%
to control set restrictions: 68 out of 54,576 1%
 
A LUT Flip Flop pair for this architecture represents one LUT paired with
one Flip Flop within a slice. A control set is a unique combination of
125,7 → 125,7
 
IO Utilization:
Number of bonded IOBs: 63 out of 218 28%
Number of LOCed IOBs: 43 out of 63 68%
Number of LOCed IOBs: 47 out of 63 74%
 
Specific Feature Utilization:
Number of RAMB16BWERs: 0 out of 116 0%
152,10 → 152,10
Number of STARTUPs: 0 out of 1 0%
Number of SUSPEND_SYNCs: 0 out of 1 0%
 
Average Fanout of Non-Clock Nets: 2.81
Average Fanout of Non-Clock Nets: 3.18
 
Peak Memory Usage: 303 MB
Total REAL time to MAP completion: 19 secs
Peak Memory Usage: 298 MB
Total REAL time to MAP completion: 17 secs
Total CPU time to MAP completion (all processors): 17 secs
 
Mapping completed.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.