OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /versatile_library/trunk/rtl/verilog
    from Rev 14 to Rev 15
    Reverse comparison

Rev 14 → Rev 15

/versatile_library.v
529,7 → 529,23
else
direction <= going_full;*/
endmodule
`endif//////////////////////////////////////////////////////////////////////
`endif
 
module delay ( d, q, clk, rst);
parameter depth = 10;
input d;
output q;
input clk, rst;
 
reg [1:depth] dffs;
 
always @ (posedge clk or posedge rst)
if (rst)
dffs <= {depth{1'b0}};
else
dffs <= {d,dffs[1:depth-1]};
assign q = dffs[depth];
endmodule//////////////////////////////////////////////////////////////////////
//// ////
//// Versatile counter ////
//// ////
/versatile_library_actel.v
294,6 → 294,19
else
direction <= going_full;*/
endmodule
module delay ( d, q, clk, rst);
parameter depth = 10;
input d;
output q;
input clk, rst;
reg [1:depth] dffs;
always @ (posedge clk or posedge rst)
if (rst)
dffs <= {depth{1'b0}};
else
dffs <= {d,dffs[1:depth-1]};
assign q = dffs[depth];
endmodule
//////////////////////////////////////////////////////////////////////
//// ////
//// Versatile counter ////
/registers.v
285,4 → 285,20
else
direction <= going_full;*/
endmodule
`endif
`endif
 
module delay ( d, q, clk, rst);
parameter depth = 10;
input d;
output q;
input clk, rst;
 
reg [1:depth] dffs;
 
always @ (posedge clk or posedge rst)
if (rst)
dffs <= {depth{1'b0}};
else
dffs <= {d,dffs[1:depth-1]};
assign q = dffs[depth];
endmodule
/versatile_library_altera.v
280,6 → 280,19
input clk;
dff_sr i0 (.aclr(), .aset(), .clock(1'b1), .data(1'b1), .q(q));
endmodule
module delay ( d, q, clk, rst);
parameter depth = 10;
input d;
output q;
input clk, rst;
reg [1:depth] dffs;
always @ (posedge clk or posedge rst)
if (rst)
dffs <= {depth{1'b0}};
else
dffs <= {d,dffs[1:depth-1]};
assign q = dffs[depth];
endmodule
//////////////////////////////////////////////////////////////////////
//// ////
//// Versatile counter ////

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.