Line 2... |
Line 2... |
-- Company: CEI
|
-- Company: CEI
|
-- Engineer: Enrique Herrero
|
-- Engineer: Enrique Herrero
|
--
|
--
|
-- Create Date:
|
-- Create Date:
|
-- Design Name: Configurable ANN
|
-- Design Name: Configurable ANN
|
-- Module Name: af_sigmoid2 - Behavioral
|
-- Module Name: af_sigmoid_mat - Behavioral
|
-- Project Name:
|
-- Project Name:
|
-- Target Devices:
|
-- Target Devices:
|
-- Tool versions:
|
-- Tool versions:
|
-- Description: Sigmoid activation function implemented as a Look-Up-Table (LUT).
|
-- Description: Sigmoid activation function implemented as a Look-Up-Table (LUT).
|
-- Alternative set of parameters.
|
-- Alternative set of parameters.
|
Line 23... |
Line 23... |
use IEEE.STD_LOGIC_1164.ALL;
|
use IEEE.STD_LOGIC_1164.ALL;
|
use ieee.numeric_std.ALL;
|
use ieee.numeric_std.ALL;
|
use ieee.math_real.all;
|
use ieee.math_real.all;
|
|
|
|
|
entity af_sigmoid2 is
|
entity af_sigmoid_mat is
|
generic
|
generic
|
(
|
(
|
Nbit : natural := 8;
|
Nbit : natural := 8;
|
lsbit : natural := 10
|
lsbit : natural := 10
|
);
|
);
|
Line 38... |
Line 38... |
run_in : in std_logic; -- Start and input data validation
|
run_in : in std_logic; -- Start and input data validation
|
inputs : in std_logic_vector(Nbit-1 downto 0); -- Input data
|
inputs : in std_logic_vector(Nbit-1 downto 0); -- Input data
|
run_out : out std_logic; -- Output data validation, run_in for the next layer
|
run_out : out std_logic; -- Output data validation, run_in for the next layer
|
outputs : out std_logic_vector(Nbit-1 downto 0) -- Output data
|
outputs : out std_logic_vector(Nbit-1 downto 0) -- Output data
|
);
|
);
|
end af_sigmoid2;
|
end af_sigmoid_mat;
|
|
|
|
|
architecture Behavioral of af_sigmoid2 is
|
architecture Behavioral of af_sigmoid_mat is
|
|
|
-- Definition of internal modules, constants, signals, etc...
|
-- Definition of internal modules, constants, signals, etc...
|
|
|
-- Sigmoid parameters:
|
-- Sigmoid parameters:
|
constant f0 : real := 1.0; -- Slope at the origin
|
constant f0 : real := 1.0; -- Slope at the origin
|