OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [examples/] [bridge/] [rtl/] [concentrator.v] - Diff between revs 8 and 9

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 9
Line 53... Line 53...
      if (p_srdy)
      if (p_srdy)
        begin
        begin
          if (p_drdy)
          if (p_drdy)
            begin
            begin
              nxt_p_srdy = 0;
              nxt_p_srdy = 0;
 
              nxt_p_commit = 0;
              ip_drdy = 1;
              ip_drdy = 1;
              nxt_pkt_code = `PCC_DATA;
              nxt_pkt_code = `PCC_DATA;
              nxt_count = 0;
              nxt_count = 0;
 
 
              if (ip_srdy)
              if (ip_srdy)
Line 85... Line 86...
            6 : nxt_p_data[15: 8] = ip_data;
            6 : nxt_p_data[15: 8] = ip_data;
            7 : nxt_p_data[ 7: 0] = ip_data;
            7 : nxt_p_data[ 7: 0] = ip_data;
          endcase // case (count)
          endcase // case (count)
          if ((count == 7) | (ip_code == `PCC_BADEOP) | (ip_code == `PCC_EOP))
          if ((count == 7) | (ip_code == `PCC_BADEOP) | (ip_code == `PCC_EOP))
            begin
            begin
              if ((ip_code == `PCC_BADEOP) || (pkt_code == `PCC_BADEOP))
              if (ip_code == `PCC_EOP)
 
                begin
 
                  nxt_p_commit = 1;
 
                  nxt_p_srdy   = 1;
 
                end
 
              else if ((ip_code == `PCC_BADEOP) || (pkt_code == `PCC_BADEOP))
                begin
                begin
                  nxt_p_abort = 1;
                  nxt_p_abort = 1;
                end
                end
              else
              else
                nxt_p_srdy = 1;
                nxt_p_srdy = 1;
Line 101... Line 107...
    begin
    begin
      if (reset)
      if (reset)
        begin
        begin
          /*AUTORESET*/
          /*AUTORESET*/
          // Beginning of autoreset for uninitialized flops
          // Beginning of autoreset for uninitialized flops
 
          count <= 3'h0;
          p_abort <= 1'h0;
          p_abort <= 1'h0;
          p_commit <= 1'h0;
          p_commit <= 1'h0;
          p_data <= {(1+(`PFW_SZ-1)){1'b0}};
          p_data <= {(1+(`PFW_SZ-1)){1'b0}};
          p_srdy <= 1'h0;
          p_srdy <= 1'h0;
          // End of automatics
          // End of automatics
Line 113... Line 120...
        begin
        begin
          p_commit <= #1 nxt_p_commit;
          p_commit <= #1 nxt_p_commit;
          p_abort  <= #1 nxt_p_abort;
          p_abort  <= #1 nxt_p_abort;
          p_srdy   <= #1 nxt_p_srdy;
          p_srdy   <= #1 nxt_p_srdy;
          p_data   <= #1 nxt_p_data;
          p_data   <= #1 nxt_p_data;
 
          count    <= #1 nxt_count;
        end // else: !if(reset)
        end // else: !if(reset)
    end
    end
 
 
endmodule // template_1i1o
endmodule // template_1i1o
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.