OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [Spartan2/] [keymap_rom_b4.vhd] - Diff between revs 66 and 99

Show entire file | Details | Blame | View Log

Rev 66 Rev 99
Line 1... Line 1...
---------------------------------------------------------
--===========================================================================--
 
--                                                                           --
 
--         Synthesizable PS/2 Keyboard Key map ROM for the Spartan 2         --
 
--                                                                           --
 
--===========================================================================--
--
--
-- PS2 Keycode look up table
--  File name      : keymap_rom_b4.vhd
-- converts 7 bit key code to ASCII
--
-- Address bit 7 = CAPS Lock
--  Entity name    : keymap_rom 
 
--
 
--  Purpose        : PS/2 key code look up table for PS/2 Keyboard
 
--                   Converts 7 bit key code to ASCII
-- Address bit 8 = Shift
-- Address bit 8 = Shift
 
--                   Address bit 7      = CAPS Lock
 
--                   Address bits 6 - 0 = Key code
 
--                   Data bits 6 - 0    = ASCII code
 
--                   Designed for the Spartan 2
 
--
 
--  Dependencies   : ieee.std_logic_1164
 
--                   ieee.std_logic_arith
 
--                   ieee.std_logic_unsigned
 
--
 
--  Uses           : RAMB4_S8
 
--
 
--  Author         : John E. Kent
 
--
 
--  Email          : dilbert57@opencores.org      
--
--
-- J.E.Kent
--  Web            : http://opencores.org/project,system09
-- 18th Oct 2004
 
--
--
 
--  Copyright (C) 2004 - 2010 John Kent
 
--
 
--  This program is free software: you can redistribute it and/or modify
 
--  it under the terms of the GNU General Public License as published by
 
--  the Free Software Foundation, either version 3 of the License, or
 
--  (at your option) any later version.
 
--
 
--  This program is distributed in the hope that it will be useful,
 
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
 
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
 
--  GNU General Public License for more details.
 
--
 
--  You should have received a copy of the GNU General Public License
 
--  along with this program.  If not, see <http://www.gnu.org/licenses/>.
 
--
 
--===========================================================================--
 
--                                                                           --
 
--                              Revision  History                            --
 
--                                                                           --
 
--===========================================================================--
 
--
 
-- Version Date        Author     Changes
 
-- 0.1     2004-10-18  John Kent  Initial Version
 
-- 0.2     2010-06-17  John Kent  Added header, Rename data signals
 
--
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
library unisim;
library unisim;
        use unisim.vcomponents.all;
        use unisim.vcomponents.all;
Line 19... Line 65...
       clk   : in  std_logic;
       clk   : in  std_logic;
       rst   : in  std_logic;
       rst   : in  std_logic;
       cs    : in  std_logic;
       cs    : in  std_logic;
       rw    : in  std_logic;
       rw    : in  std_logic;
       addr  : in  std_logic_vector (8 downto 0);
       addr  : in  std_logic_vector (8 downto 0);
       rdata : out std_logic_vector (7 downto 0);
       data_in  : in  std_logic_vector (7 downto 0);
       wdata : in  std_logic_vector (7 downto 0)
       data_out : out std_logic_vector (7 downto 0)
    );
    );
end keymap_rom;
end keymap_rom;
 
 
architecture rtl of keymap_rom is
architecture rtl of keymap_rom is
 
 
Line 57... Line 103...
                clk => clk,
                clk => clk,
                en => cs,
                en => cs,
                we => we,
                we => we,
                rst => rst,
                rst => rst,
                addr => addr,
                addr => addr,
                di => wdata,
                di => data_in,
                do => rdata
                do => data_out
        );
        );
 
 
 
 
my_keymap_rom_b4 : process ( rw )
my_keymap_rom_b4 : process ( rw )
begin
begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.