OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Digilent_Atlys/] [system09.vhd] - Diff between revs 186 and 192

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 186 Rev 192
--===========================================================================----
--===========================================================================----
--
--
--  S Y N T H E Z I A B L E    System09 - SOC.
--  S Y N T H E Z I A B L E    System09 - SOC.
--
--
--  www.OpenCores.Org - February 2007
--  www.OpenCores.Org - February 2007
--  This core adheres to the GNU public license  
--  This core adheres to the GNU public license  
--
--
-- File name      : System09_Xess_XSA-3S1000.vhd
-- File name      : System09_Xess_XSA-3S1000.vhd
--
--
-- Purpose        : Top level file for 6809 compatible system on a chip
-- Purpose        : Top level file for 6809 compatible system on a chip
--                  Designed with Xilinx XC3S1000 Spartan 3 FPGA.
--                  Designed with Xilinx XC3S1000 Spartan 3 FPGA.
--                  Implemented With XESS XSA-3S1000 FPGA board.
--                  Implemented With XESS XSA-3S1000 FPGA board.
--                  *** Note ***
--                  *** Note ***
--                  This configuration can run Flex9 however it only has
--                  This configuration can run Flex9 however it only has
--                  32k bytes of user memory and the VDU is monochrome
--                  32k bytes of user memory and the VDU is monochrome
--                  The design needs to be updated to use the SDRAM on 
--                  The design needs to be updated to use the SDRAM on 
--                  the XSA-3S1000 board.
--                  the XSA-3S1000 board.
--                  This configuration also lacks a DAT so cannot use
--                  This configuration also lacks a DAT so cannot use
--                  the RAM Disk features of SYS09BUG.
--                  the RAM Disk features of SYS09BUG.
--
--
-- Dependencies   : ieee.Std_Logic_1164
-- Dependencies   : ieee.Std_Logic_1164
--                  ieee.std_logic_unsigned
--                  ieee.std_logic_unsigned
--                  ieee.std_logic_arith
--                  ieee.std_logic_arith
--                  ieee.numeric_std
--                  ieee.numeric_std
--                  unisim.vcomponents
--                  unisim.vcomponents
--
--
-- Uses           : mon_rom    (sys09bug_rom4k_b16.vhd) Sys09Bug Monitor ROM
-- Uses           : mon_rom    (sys09bug_rom4k_b16.vhd) Sys09Bug Monitor ROM
--                  cpu09      (cpu09.vhd)          CPU core
--                  cpu09      (cpu09.vhd)          CPU core
--                  ACIA_6850  (acia6850.vhd)      ACIA / UART
--                  ACIA_6850  (acia6850.vhd)      ACIA / UART
--                  ACIA_Clock (ACIA_Clock.vhd)      ACIA clock.
--                  ACIA_Clock (ACIA_Clock.vhd)      ACIA clock.
--                  timer      (timer.vhd)            Interrupt timer
--                  timer      (timer.vhd)            Interrupt timer
--                  trap       (trap.vhd)             Bus condition trap logic
--                  trap       (trap.vhd)             Bus condition trap logic
--                  flex_ram   (flex9_ram8k_b16.vhd)  Flex operating system
--                  flex_ram   (flex9_ram8k_b16.vhd)  Flex operating system
--                  ram_32K    (ram32k_b16.vhd)       32 KBytes of Block RAM
--                  ram_32K    (ram32k_b16.vhd)       32 KBytes of Block RAM
--                  
--                  
-- 
-- 
-- Author         : John E. Kent      
-- Author         : John E. Kent      
--                  dilbert57@opencores.org      
--                  dilbert57@opencores.org      
--
--
-- Memory Map     :
-- Memory Map     :
--
--
-- $0000 - User program RAM (32K Bytes)
-- $0000 - User program RAM (32K Bytes)
-- $C000 - Flex Operating System memory (8K Bytes)
-- $C000 - Flex Operating System memory (8K Bytes)
-- $E000 - ACIA (SWTPc)
-- $E000 - ACIA (SWTPc)
-- $E010 - Reserved for FD1771 FDC (SWTPc)
-- $E010 - Reserved for FD1771 FDC (SWTPc)
-- $E050 - Timer
-- $E050 - Timer
-- $E060 - Bus trap
-- $E060 - Bus trap
-- $E070 - Reserced for Parallel I/O (B5-X300)
-- $E070 - Reserced for Parallel I/O (B5-X300)
-- $E080 - Reserved for 6821 PIA (?) (SWTPc)
-- $E080 - Reserved for 6821 PIA (?) (SWTPc)
-- $E090 - Reserved for 6840 PTM (?) (SWTPc)
-- $E090 - Reserved for 6840 PTM (?) (SWTPc)
-- $F000 - Sys09Bug monitor Program (4K Bytes)
-- $F000 - Sys09Bug monitor Program (4K Bytes)
--
--
--===========================================================================----
--===========================================================================----
--
--
-- Revision History:
-- Revision History:
--===========================================================================--
--===========================================================================--
-- Version 0.1 - 20 March 2003
-- Version 0.1 - 20 March 2003
-- Version 0.2 - 30 March 2003
-- Version 0.2 - 30 March 2003
-- Version 0.3 - 29 April 2003
-- Version 0.3 - 29 April 2003
-- Version 0.4 - 29 June 2003
-- Version 0.4 - 29 June 2003
--
--
-- Version 0.5 - 19 July 2003
-- Version 0.5 - 19 July 2003
-- prints out "Hello World"
-- prints out "Hello World"
--
--
-- Version 0.6 - 5 September 2003
-- Version 0.6 - 5 September 2003
-- Runs SBUG
-- Runs SBUG
--
--
-- Version 1.0- 6 Sep 2003 - John Kent
-- Version 1.0- 6 Sep 2003 - John Kent
-- Inverted SysClk
-- Inverted SysClk
-- Initial release to Open Cores
-- Initial release to Open Cores
--
--
-- Version 1.1 - 17 Jan 2004 - John Kent
-- Version 1.1 - 17 Jan 2004 - John Kent
-- Updated miniUart.
-- Updated miniUart.
--
--
-- Version 1.2 - 25 Jan 2004 - John Kent
-- Version 1.2 - 25 Jan 2004 - John Kent
-- removed signals "test_alu" and "test_cc" 
-- removed signals "test_alu" and "test_cc" 
-- Trap hardware re-instated.
-- Trap hardware re-instated.
--
--
-- Version 1.3 - 11 Feb 2004 - John Kent
-- Version 1.3 - 11 Feb 2004 - John Kent
-- Designed forked off to produce System09_VDU
-- Designed forked off to produce System09_VDU
-- Added VDU component
-- Added VDU component
-- VDU runs at 25MHz and divides the clock by 2 for the CPU
-- VDU runs at 25MHz and divides the clock by 2 for the CPU
-- UART Runs at 57.6 Kbps
-- UART Runs at 57.6 Kbps
--
--
-- Version 2.0 - 2 September 2004 - John Kent
-- Version 2.0 - 2 September 2004 - John Kent
-- ported to Digilent Xilinx Spartan3 starter board
-- ported to Digilent Xilinx Spartan3 starter board
-- removed Compact Flash and Trap Logic.
-- removed Compact Flash and Trap Logic.
-- Replaced SBUG with KBug9s
-- Replaced SBUG with KBug9s
--
--
-- Version 3.0 - 29th August 2006 - John Kent
-- Version 3.0 - 29th August 2006 - John Kent
-- Adapted to XSA-3S1000 board.
-- Adapted to XSA-3S1000 board.
-- Removed DAT and miniUART.
-- Removed DAT and miniUART.
-- Used 32KBytes of Block RAM.
-- Used 32KBytes of Block RAM.
--
--
-- Version 3.1 - 15th January 2007 - John Kent
-- Version 3.1 - 15th January 2007 - John Kent
-- Modified vdu8 interface
-- Modified vdu8 interface
-- Added a clock divider
-- Added a clock divider
--
--
-- Version 3.2 - 25th February 2007 - John Kent
-- Version 3.2 - 25th February 2007 - John Kent
-- reinstated ACIA_6850 and ACIA_Clock
-- reinstated ACIA_6850 and ACIA_Clock
-- Updated VDU8 & Keyboard with generic parameters
-- Updated VDU8 & Keyboard with generic parameters
-- Defined Constants for clock speed calculations
-- Defined Constants for clock speed calculations
--
--
-- Version 3.3 - 1st July 2007 - John Kent
-- Version 3.3 - 1st July 2007 - John Kent
-- Made VDU mono to save on one RAMB16
-- Made VDU mono to save on one RAMB16
-- Used distributed memory for Key Map ROM to save one RAMB16
-- Used distributed memory for Key Map ROM to save one RAMB16
-- Added Flex RAM at $C000 to $DFFF using 4 spare RAMB16s
-- Added Flex RAM at $C000 to $DFFF using 4 spare RAMB16s
-- Added timer and trap logic
-- Added timer and trap logic
-- Added IDE Interface for Compact Flash
-- Added IDE Interface for Compact Flash
-- Replaced KBug9s and stack with Sys09Bug.
-- Replaced KBug9s and stack with Sys09Bug.
--
--
-- Version 4.0 - 1st February 2008 - John kent
-- Version 4.0 - 1st February 2008 - John kent
-- Replaced Block RAM with SDRAM Interface
-- Replaced Block RAM with SDRAM Interface
-- Modified Hold timing for SDRAM
-- Modified Hold timing for SDRAM
-- Added CF and Ethernet interface 
-- Added CF and Ethernet interface 
-- via the 16 bit peripheral bus at $E100
-- via the 16 bit peripheral bus at $E100
--
--
--===========================================================================--
--===========================================================================--
library ieee;
library ieee;
   use ieee.std_logic_1164.all;
   use ieee.std_logic_1164.all;
   use IEEE.STD_LOGIC_ARITH.ALL;
   use IEEE.STD_LOGIC_ARITH.ALL;
   use IEEE.STD_LOGIC_UNSIGNED.ALL;
   use IEEE.STD_LOGIC_UNSIGNED.ALL;
   use ieee.numeric_std.all;
   use ieee.numeric_std.all;
library work;
library work;
   use work.common.all;
   use work.common.all;
library unisim;
library unisim;
   use unisim.vcomponents.all;
   use unisim.vcomponents.all;
 
 
entity system09 is
entity system09 is
  port(
  port(
    CLKA         : in  Std_Logic;  -- 100MHz Clock input
    CLKA         : in  Std_Logic;  -- 100MHz Clock input
    --RESET_N        : in  Std_logic;  -- Master Reset input (active low)
    RESET        : in  Std_logic;  -- Master Reset input (active high) -- red "RESET" PB
    --NMI_N        : in  Std_logic;  -- Non Maskable Interrupt input (active low)
    NMI          : in  Std_logic;  -- Non Maskable Interrupt input (active high) -- Center PB
 
 
    -- RS232 Port
    -- RS232 Port - via Pmod RS232
    RS232_RTS    : out std_logic;
    RS232_CTS    : in  Std_Logic;
         RS232_CTS    : in  std_logic;
    RS232_RTS    : out Std_Logic;
    RS232_RXD    : in  Std_Logic;
    RS232_RXD    : in  Std_Logic;
    RS232_TXD    : out Std_Logic;
    RS232_TXD    : out Std_Logic;
 
 
    -- slide switches
    -- slide switches
         sw           : in std_logic_vector(7 downto 0);
         sw           : in std_logic_vector(2 downto 0);
         -- push buttons (Right=SS, Center=NMI, Left=RESET)
 
         btn          : in std_logic_vector(4 downto 0);
 
    -- Status 7 segment LED
    -- Status 7 segment LED
    S            : out std_logic_vector(7 downto 0)
    S            : out std_logic_vector(7 downto 0)
 
 
 
 
-- CPU Debug Interface signals
-- CPU Debug Interface signals
--    cpu_reset_o     : out Std_Logic;
--    cpu_reset_o     : out Std_Logic;
--    cpu_clk_o       : out Std_Logic;
--    cpu_clk_o       : out Std_Logic;
--    cpu_rw_o        : out std_logic;
--    cpu_rw_o        : out std_logic;
--    cpu_vma_o       : out std_logic;
--    cpu_vma_o       : out std_logic;
--    cpu_halt_o      : out std_logic;
--    cpu_halt_o      : out std_logic;
--    cpu_hold_o      : out std_logic;
--    cpu_hold_o      : out std_logic;
--    cpu_firq_o      : out std_logic;
--    cpu_firq_o      : out std_logic;
--    cpu_irq_o       : out std_logic;
--    cpu_irq_o       : out std_logic;
--    cpu_nmi_o       : out std_logic;
--    cpu_nmi_o       : out std_logic;
--    cpu_addr_o      : out std_logic_vector(15 downto 0);
--    cpu_addr_o      : out std_logic_vector(15 downto 0);
--    cpu_data_in_o   : out std_logic_vector(7 downto 0);
--    cpu_data_in_o   : out std_logic_vector(7 downto 0);
--    cpu_data_out_o  : out std_logic_vector(7 downto 0);
--    cpu_data_out_o  : out std_logic_vector(7 downto 0);
 
 
  );
  );
end system09;
end system09;
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Architecture for System09
-- Architecture for System09
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
architecture rtl of system09 is
architecture rtl of system09 is
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- constants
  -- constants
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  constant CLOCK_MODE           : natural := 0; -- 0 means normal, 1 means single-step
  constant CLOCK_MODE           : natural := 0; -- 0 means normal, 1 means single-step
 
 
  constant MEM_CLK_FREQ         : natural := 100_000; -- operating frequency of Memory in KHz
  constant SYS_CLK_FREQ         : natural := 100_000_000;  -- FPGA System Clock (in Hz)
  constant SYS_CLK_DIV          : real    := 2.0;    -- divisor for FREQ (can only be 1.0, 1.5, 2.0, 2.5, 3.0, 4.0, 5.0, 8.0 or 16.0)
 
 
 
  constant SYS_CLK_FREQ         : natural := ((MEM_CLK_FREQ*2)/integer(SYS_CLK_DIV*2.0))*1000;  -- FPGA System Clock (in Hz)
 
  constant CPU_CLK_FREQ         : natural := 25_000_000;  -- CPU Clock (Hz)
  constant CPU_CLK_FREQ         : natural := 25_000_000;  -- CPU Clock (Hz)
  constant CPU_CLK_DIV          : natural := (SYS_CLK_FREQ/CPU_CLK_FREQ);
  constant CPU_CLK_DIV          : natural := (SYS_CLK_FREQ/CPU_CLK_FREQ);
  constant BAUD_RATE            : integer := 57600;     -- Baud Rate
  constant BAUD_RATE            : integer := 57600;     -- Baud Rate
  constant ACIA_CLK_FREQ        : integer := BAUD_RATE * 16;
  constant ACIA_CLK_FREQ        : integer := BAUD_RATE * 16;
 
 
  constant TRESET               : natural := 300;      -- min initialization interval (us)
 
  constant RST_CYCLES           : natural := 1+(TRESET*(MEM_CLK_FREQ/1_000));  -- SDRAM power-on initialization interval
 
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- Signals
  -- Signals
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  signal pbtn           : std_logic_vector(4 downto 0);
 
  signal NMI_N          : std_logic;
 
  signal RESET_N        : std_logic;
 
  signal SINGLE_STEP    : std_logic;
 
 
 
  -- BOOT ROM
  -- BOOT ROM
  signal rom_cs         : Std_logic;
  signal rom_cs         : Std_logic;
  signal rom_data_out   : Std_Logic_Vector(7 downto 0);
  signal rom_data_out   : Std_Logic_Vector(7 downto 0);
 
 
  -- Flex Memory & Monitor Stack
  -- Flex Memory & Monitor Stack
  signal flex_cs        : Std_logic;
  signal flex_cs        : Std_logic;
  signal flex_data_out  : Std_Logic_Vector(7 downto 0);
  signal flex_data_out  : Std_Logic_Vector(7 downto 0);
 
 
  -- ACIA/UART Interface signals
  -- ACIA/UART Interface signals
  signal acia_data_out  : Std_Logic_Vector(7 downto 0);
  signal acia_data_out  : Std_Logic_Vector(7 downto 0);
  signal acia_cs        : Std_Logic;
  signal acia_cs        : Std_Logic;
  signal acia_irq       : Std_Logic;
  signal acia_irq       : Std_Logic;
  signal acia_clk       : Std_Logic;
  signal acia_clk       : Std_Logic;
  signal rxd            : Std_Logic;
  signal RXD            : Std_Logic;
  signal txd            : Std_Logic;
  signal TXD            : Std_Logic;
  signal DCD_n          : Std_Logic;
  signal DCD_n          : Std_Logic;
  signal RTS_n          : Std_Logic;
  signal RTS_n          : Std_Logic;
  signal CTS_n          : Std_Logic;
  signal CTS_n          : Std_Logic;
 
 
  -- RAM
  -- RAM
  signal ram1_cs         : std_logic;
  signal ram1_cs         : std_logic;
  signal ram1_data_out   : std_logic_vector(7 downto 0);
  signal ram1_data_out   : std_logic_vector(7 downto 0);
  signal ram2_cs         : std_logic;
  signal ram2_cs         : std_logic;
  signal ram2_data_out   : std_logic_vector(7 downto 0);
  signal ram2_data_out   : std_logic_vector(7 downto 0);
  signal ram3_cs         : std_logic;
  signal ram3_cs         : std_logic;
 
 
  -- CPU Interface signals
  -- CPU Interface signals
  signal cpu_reset      : Std_Logic;
  signal cpu_reset      : Std_Logic;
  signal cpu_clk        : Std_Logic;
  signal cpu_clk        : Std_Logic;
  signal cpu_rw         : std_logic;
  signal cpu_rw         : std_logic;
  signal cpu_vma        : std_logic;
  signal cpu_vma        : std_logic;
  signal cpu_halt       : std_logic;
  signal cpu_halt       : std_logic;
  signal cpu_hold       : std_logic;
  signal cpu_hold       : std_logic;
  signal cpu_firq       : std_logic;
  signal cpu_firq       : std_logic;
  signal cpu_irq        : std_logic;
  signal cpu_irq        : std_logic;
  signal cpu_nmi        : std_logic;
  signal cpu_nmi        : std_logic;
  signal cpu_addr       : std_logic_vector(15 downto 0);
  signal cpu_addr       : std_logic_vector(15 downto 0);
  signal cpu_data_in    : std_logic_vector(7 downto 0);
  signal cpu_data_in    : std_logic_vector(7 downto 0);
  signal cpu_data_out   : std_logic_vector(7 downto 0);
  signal cpu_data_out   : std_logic_vector(7 downto 0);
 
 
  -- Dynamic Address Translation
  -- Dynamic Address Translation
  signal dat_cs       : std_logic;
  signal dat_cs       : std_logic;
  signal dat_addr     : std_logic_vector(7 downto 0);
  signal dat_addr     : std_logic_vector(7 downto 0);
 
 
  -- timer
  -- timer
  signal timer_data_out : std_logic_vector(7 downto 0);
  signal timer_data_out : std_logic_vector(7 downto 0);
  signal timer_cs       : std_logic;
  signal timer_cs       : std_logic;
  signal timer_irq      : std_logic;
  signal timer_irq      : std_logic;
 
 
  -- trap
  -- trap
  signal trap_cs        : std_logic;
  signal trap_cs        : std_logic;
  signal trap_data_out  : std_logic_vector(7 downto 0);
  signal trap_data_out  : std_logic_vector(7 downto 0);
  signal trap_irq       : std_logic;
  signal trap_irq       : std_logic;
 
 
  signal rst_i         : std_logic;     -- internal reset signal
  signal rst_i         : std_logic;     -- internal reset signal
  signal clk_i         : std_logic;     -- internal master clock signal
  signal clk_i         : std_logic;     -- internal master clock signal
 
 
  signal CountL        : std_logic_vector(23 downto 0);
  signal CountL        : std_logic_vector(23 downto 0);
  signal clk_count     : natural range 0 to CPU_CLK_DIV;
  signal clk_count     : natural range 0 to CPU_CLK_DIV;
  signal Clk25         : std_logic;
  signal Clk25         : std_logic;
 
 
 
 
component btn_debounce
 
    Port ( BTN_I : in  STD_LOGIC_VECTOR (4 downto 0);
 
           CLK : in  STD_LOGIC;
 
           BTN_O : out  STD_LOGIC_VECTOR (4 downto 0));
 
end component;
 
 
 
 
 
-----------------------------------------------------------------
-----------------------------------------------------------------
--
--
-- CPU09 CPU core
-- CPU09 CPU core
--
--
-----------------------------------------------------------------
-----------------------------------------------------------------
 
 
component cpu09
component cpu09
  port (
  port (
    clk:      in  std_logic;
    clk:      in  std_logic;
    rst:      in  std_logic;
    rst:      in  std_logic;
    vma:      out std_logic;
    vma:      out std_logic;
    addr:     out std_logic_vector(15 downto 0);
    addr:     out std_logic_vector(15 downto 0);
    rw:       out std_logic;     -- Asynchronous memory interface
    rw:       out std_logic;     -- Asynchronous memory interface
    data_out: out std_logic_vector(7 downto 0);
    data_out: out std_logic_vector(7 downto 0);
    data_in:  in  std_logic_vector(7 downto 0);
    data_in:  in  std_logic_vector(7 downto 0);
    irq:      in  std_logic;
    irq:      in  std_logic;
    firq:     in  std_logic;
    firq:     in  std_logic;
    nmi:      in  std_logic;
    nmi:      in  std_logic;
    halt:     in  std_logic;
    halt:     in  std_logic;
    hold:     in  std_logic
    hold:     in  std_logic
  );
  );
end component;
end component;
 
 
----------------------------------------
----------------------------------------
--
--
-- 4K Block RAM Monitor ROM
-- 4K Block RAM Monitor ROM
-- $F000 - $FFFF
-- $F000 - $FFFF
--
--
----------------------------------------
----------------------------------------
 
 
component mon_rom
component mon_rom
  Port (
  Port (
    clk   : in  std_logic;
    clk   : in  std_logic;
    rst   : in  std_logic;
    rst   : in  std_logic;
    cs    : in  std_logic;
    cs    : in  std_logic;
    rw    : in  std_logic;
    rw    : in  std_logic;
    addr  : in  std_logic_vector (11 downto 0);
    addr  : in  std_logic_vector (11 downto 0);
    data_out : out std_logic_vector (7 downto 0);
    data_out : out std_logic_vector (7 downto 0);
    data_in : in  std_logic_vector (7 downto 0)
    data_in : in  std_logic_vector (7 downto 0)
  );
  );
end component;
end component;
 
 
----------------------------------------
----------------------------------------
--
--
-- 8KBytes Block RAM for FLEX9
-- 8KBytes Block RAM for FLEX9
-- $C000 - $DFFF
-- $C000 - $DFFF
--
--
----------------------------------------
----------------------------------------
 
 
component flex_ram
component flex_ram
  Port (
  Port (
    clk      : in  std_logic;
    clk      : in  std_logic;
    rst      : in  std_logic;
    rst      : in  std_logic;
    cs       : in  std_logic;
    cs       : in  std_logic;
    rw       : in  std_logic;
    rw       : in  std_logic;
    addr     : in  std_logic_vector (12 downto 0);
    addr     : in  std_logic_vector (12 downto 0);
    data_out    : out std_logic_vector (7 downto 0);
    data_out    : out std_logic_vector (7 downto 0);
    data_in    : in  std_logic_vector (7 downto 0)
    data_in    : in  std_logic_vector (7 downto 0)
  );
  );
end component;
end component;
 
 
----------------------------------------
----------------------------------------
--
--
-- 32KBytes Block RAM 0000
-- 32KBytes Block RAM 0000
-- $0000 - $7FFF
-- $0000 - $7FFF
--
--
----------------------------------------
----------------------------------------
 
 
component ram_32k
component ram_32k
  Port (
  Port (
    clk      : in  std_logic;
    clk      : in  std_logic;
    rst      : in  std_logic;
    rst      : in  std_logic;
    cs       : in  std_logic;
    cs       : in  std_logic;
    rw       : in  std_logic;
    rw       : in  std_logic;
    addr     : in  std_logic_vector (14 downto 0);
    addr     : in  std_logic_vector (14 downto 0);
    data_out    : out std_logic_vector (7 downto 0);
    data_out    : out std_logic_vector (7 downto 0);
    data_in    : in  std_logic_vector (7 downto 0)
    data_in    : in  std_logic_vector (7 downto 0)
  );
  );
end component;
end component;
 
 
 
 
----------------------------------------
----------------------------------------
--
--
-- 16KBytes Block RAM 8000
-- 16KBytes Block RAM 8000
-- $8000 - $BFFF
-- $8000 - $BFFF
--
--
----------------------------------------
----------------------------------------
 
 
component ram_16k
component ram_16k
  Port (
  Port (
    clk      : in  std_logic;
    clk      : in  std_logic;
    rst      : in  std_logic;
    rst      : in  std_logic;
    cs       : in  std_logic;
    cs       : in  std_logic;
    rw       : in  std_logic;
    rw       : in  std_logic;
    addr     : in  std_logic_vector (13 downto 0);
    addr     : in  std_logic_vector (13 downto 0);
    data_out    : out std_logic_vector (7 downto 0);
    data_out    : out std_logic_vector (7 downto 0);
    data_in    : in  std_logic_vector (7 downto 0)
    data_in    : in  std_logic_vector (7 downto 0)
  );
  );
end component;
end component;
 
 
-----------------------------------------------------------------
-----------------------------------------------------------------
--
--
-- 6850 Compatible ACIA / UART
-- 6850 Compatible ACIA / UART
--
--
-----------------------------------------------------------------
-----------------------------------------------------------------
 
 
component acia6850
component acia6850
  port (
  port (
    clk      : in  Std_Logic;  -- System Clock
    clk      : in  Std_Logic;  -- System Clock
    rst      : in  Std_Logic;  -- Reset input (active high)
    rst      : in  Std_Logic;  -- Reset input (active high)
    cs       : in  Std_Logic;  -- miniUART Chip Select
    cs       : in  Std_Logic;  -- miniUART Chip Select
    rw       : in  Std_Logic;  -- Read / Not Write
    rw       : in  Std_Logic;  -- Read / Not Write
    addr     : in  Std_Logic;  -- Register Select
    addr     : in  Std_Logic;  -- Register Select
    data_in  : in  Std_Logic_Vector(7 downto 0); -- Data Bus In 
    data_in  : in  Std_Logic_Vector(7 downto 0); -- Data Bus In 
    data_out : out Std_Logic_Vector(7 downto 0); -- Data Bus Out
    data_out : out Std_Logic_Vector(7 downto 0); -- Data Bus Out
    irq      : out Std_Logic;  -- Interrupt
    irq      : out Std_Logic;  -- Interrupt
    RxC      : in  Std_Logic;  -- Receive Baud Clock
    RxC      : in  Std_Logic;  -- Receive Baud Clock
    TxC      : in  Std_Logic;  -- Transmit Baud Clock
    TxC      : in  Std_Logic;  -- Transmit Baud Clock
    RxD      : in  Std_Logic;  -- Receive Data
    RxD      : in  Std_Logic;  -- Receive Data
    TxD      : out Std_Logic;  -- Transmit Data
    TxD      : out Std_Logic;  -- Transmit Data
    DCD_n    : in  Std_Logic;  -- Data Carrier Detect
    DCD_n    : in  Std_Logic;  -- Data Carrier Detect
    CTS_n    : in  Std_Logic;  -- Clear To Send
    CTS_n    : in  Std_Logic;  -- Clear To Send
    RTS_n    : out Std_Logic   -- Request To send
    RTS_n    : out Std_Logic   -- Request To send
  );
  );
end component;
end component;
 
 
-----------------------------------------------------------------
-----------------------------------------------------------------
--
--
-- ACIA Clock divider
-- ACIA Clock divider
--
--
-----------------------------------------------------------------
-----------------------------------------------------------------
 
 
component ACIA_Clock
component ACIA_Clock
  generic (
  generic (
    SYS_CLK_FREQ  : integer :=  SYS_CLK_FREQ;
    SYS_CLK_FREQ  : integer :=  SYS_CLK_FREQ;
    ACIA_CLK_FREQ : integer := ACIA_CLK_FREQ
    ACIA_CLK_FREQ : integer := ACIA_CLK_FREQ
  );
  );
  port (
  port (
    clk      : in  Std_Logic;  -- System Clock Input
    clk      : in  Std_Logic;  -- System Clock Input
    ACIA_clk : out Std_logic   -- ACIA Clock output
    ACIA_clk : out Std_logic   -- ACIA Clock output
  );
  );
end component;
end component;
 
 
----------------------------------------
----------------------------------------
--
--
-- Timer module
-- Timer module
--
--
----------------------------------------
----------------------------------------
 
 
component timer
component timer
  port (
  port (
    clk       : in std_logic;
    clk       : in std_logic;
    rst       : in std_logic;
    rst       : in std_logic;
    cs        : in std_logic;
    cs        : in std_logic;
    rw        : in std_logic;
    rw        : in std_logic;
    addr      : in std_logic;
    addr      : in std_logic;
    data_in   : in std_logic_vector(7 downto 0);
    data_in   : in std_logic_vector(7 downto 0);
    data_out  : out std_logic_vector(7 downto 0);
    data_out  : out std_logic_vector(7 downto 0);
    irq       : out std_logic
    irq       : out std_logic
  );
  );
end component;
end component;
 
 
------------------------------------------------------------
------------------------------------------------------------
--
--
-- Bus Trap logic
-- Bus Trap logic
--
--
------------------------------------------------------------
------------------------------------------------------------
 
 
component trap
component trap
  port (
  port (
    clk        : in  std_logic;
    clk        : in  std_logic;
    rst        : in  std_logic;
    rst        : in  std_logic;
    cs         : in  std_logic;
    cs         : in  std_logic;
    rw         : in  std_logic;
    rw         : in  std_logic;
    vma        : in  std_logic;
    vma        : in  std_logic;
    addr       : in  std_logic_vector(15 downto 0);
    addr       : in  std_logic_vector(15 downto 0);
    data_in    : in  std_logic_vector(7 downto 0);
    data_in    : in  std_logic_vector(7 downto 0);
    data_out   : out std_logic_vector(7 downto 0);
    data_out   : out std_logic_vector(7 downto 0);
    irq        : out std_logic
    irq        : out std_logic
  );
  );
end component;
end component;
 
 
----------------------------------------
----------------------------------------
--
--
-- Dynamic Address Translation Registers
-- Dynamic Address Translation Registers
--
--
----------------------------------------
----------------------------------------
 
 
component dat_ram
component dat_ram
  port (
  port (
    clk      : in  std_logic;
    clk      : in  std_logic;
    rst      : in  std_logic;
    rst      : in  std_logic;
    cs       : in  std_logic;
    cs       : in  std_logic;
    rw       : in  std_logic;
    rw       : in  std_logic;
    addr_lo  : in  std_logic_vector(3 downto 0);
    addr_lo  : in  std_logic_vector(3 downto 0);
    addr_hi  : in  std_logic_vector(3 downto 0);
    addr_hi  : in  std_logic_vector(3 downto 0);
    data_in  : in  std_logic_vector(7 downto 0);
    data_in  : in  std_logic_vector(7 downto 0);
    data_out : out std_logic_vector(7 downto 0)
    data_out : out std_logic_vector(7 downto 0)
  );
  );
end component;
end component;
 
 
--
--
-- Clock buffer
-- Clock buffer
--
--
 
 
component BUFG
component BUFG
   Port (
   Port (
     i: in std_logic;
     i: in std_logic;
     o: out std_logic
     o: out std_logic
  );
  );
end component;
end component;
 
 
begin
begin
 
 
  --
  --
  -- pushbutton debounce
 
  --
 
  my_singlestep: btn_debounce
 
    port map ( BTN_I => btn, CLK => CLKA, BTN_O => pbtn);
 
 
 
  RESET_N     <= pbtn(3); -- Right PB
 
  NMI_N       <= pbtn(4); -- Center PB
 
  SINGLE_STEP <= pbtn(1); -- Left PB
 
 
 
  --
 
  -- Generate CPU & Pixel Clock from Memory Clock
  -- Generate CPU & Pixel Clock from Memory Clock
  --
  --
  NORMAL: if CLOCK_MODE = 0 generate
 
    my_prescaler : process( clk_i, clk_count )
    my_prescaler : process( clk_i, clk_count )
    begin
  begin
      if rising_edge( clk_i ) then
    if rising_edge( clk_i ) then
        if clk_count = 0 then
      if clk_count = 0 then
          clk_count <= CPU_CLK_DIV-1;
        clk_count <= CPU_CLK_DIV-1;
        else
      else
          clk_count <= clk_count - 1;
        clk_count <= clk_count - 1;
        end if;
      end if;
        if clk_count = 0 then
      if clk_count = 0 then
           clk25 <= '0';
         clk25 <= '0';
        elsif clk_count = (CPU_CLK_DIV/2) then
      elsif clk_count = (CPU_CLK_DIV/2) then
           clk25 <= '1';
         clk25 <= '1';
        end if;
      end if;
      end if;
    end if;
    end process;
    end process;
  end generate;
 
  SS: if CLOCK_MODE = 1 generate
 
    clk25 <= SINGLE_STEP;
 
  end generate;
 
 
 
  --
  --
  -- Reset button and reset timer
  -- Reset button and reset timer
  --
  --
  my_switch_assignments : process( rst_i, RESET_N)
  my_switch_assignments : process( rst_i, RESET)
  begin
  begin
    rst_i <= RESET_N;
    rst_i <= RESET;
    cpu_reset <= rst_i;
    cpu_reset <= rst_i;
  end process;
  end process;
 
 
  clk_i <= CLKA;
  clk_i <= CLKA;
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- Instantiation of internal components
  -- Instantiation of internal components
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
 
 
  my_cpu : cpu09
  my_cpu : cpu09
    port map (
    port map (
      clk       => cpu_clk,
      clk       => cpu_clk,
      rst       => cpu_reset,
      rst       => cpu_reset,
      vma       => cpu_vma,
      vma       => cpu_vma,
      addr      => cpu_addr(15 downto 0),
      addr      => cpu_addr(15 downto 0),
      rw        => cpu_rw,
      rw        => cpu_rw,
      data_out  => cpu_data_out,
      data_out  => cpu_data_out,
      data_in   => cpu_data_in,
      data_in   => cpu_data_in,
      irq       => cpu_irq,
      irq       => cpu_irq,
      firq      => cpu_firq,
      firq      => cpu_firq,
      nmi       => cpu_nmi,
      nmi       => cpu_nmi,
      halt      => cpu_halt,
      halt      => cpu_halt,
      hold      => cpu_hold
      hold      => cpu_hold
    );
    );
 
 
  my_rom : mon_rom
  my_rom : mon_rom
    port map (
    port map (
      clk   => cpu_clk,
      clk       => cpu_clk,
      rst   => cpu_reset,
      rst       => cpu_reset,
      cs    => rom_cs,
      cs        => rom_cs,
      rw    => '1',
      rw        => '1',
      addr  => cpu_addr(11 downto 0),
      addr      => cpu_addr(11 downto 0),
      data_in => cpu_data_out,
      data_in   => cpu_data_out,
      data_out => rom_data_out
      data_out  => rom_data_out
    );
    );
 
 
  my_flex : flex_ram
  my_flex : flex_ram
    port map (
    port map (
      clk       => cpu_clk,
      clk       => cpu_clk,
      rst       => cpu_reset,
      rst       => cpu_reset,
      cs        => flex_cs,
      cs        => flex_cs,
      rw        => cpu_rw,
      rw        => cpu_rw,
      addr      => cpu_addr(12 downto 0),
      addr      => cpu_addr(12 downto 0),
      data_out     => flex_data_out,
      data_out  => flex_data_out,
      data_in     => cpu_data_out
      data_in   => cpu_data_out
    );
    );
 
 
  my_32k : ram_32k
  my_32k : ram_32k
    port map (
    port map (
      clk       => cpu_clk,
      clk       => cpu_clk,
      rst       => cpu_reset,
      rst       => cpu_reset,
      cs        => ram1_cs,
      cs        => ram1_cs,
      rw        => cpu_rw,
      rw        => cpu_rw,
      addr      => cpu_addr(14 downto 0),
      addr      => cpu_addr(14 downto 0),
      data_out     => ram1_data_out,
      data_out  => ram1_data_out,
      data_in     => cpu_data_out
      data_in   => cpu_data_out
    );
    );
 
 
  my_16k : ram_16k
  my_16k : ram_16k
    port map (
    port map (
      clk       => cpu_clk,
      clk       => cpu_clk,
      rst       => cpu_reset,
      rst       => cpu_reset,
      cs        => ram2_cs,
      cs        => ram2_cs,
      rw        => cpu_rw,
      rw        => cpu_rw,
      addr      => cpu_addr(13 downto 0),
      addr      => cpu_addr(13 downto 0),
      data_out     => ram2_data_out,
      data_out  => ram2_data_out,
      data_in     => cpu_data_out
      data_in   => cpu_data_out
    );
    );
 
 
  my_acia  : acia6850
  my_acia  : acia6850
    port map (
    port map (
      clk       => cpu_clk,
      clk       => cpu_clk,
      rst       => cpu_reset,
      rst       => cpu_reset,
      cs        => acia_cs,
      cs        => acia_cs,
      rw        => cpu_rw,
      rw        => cpu_rw,
      addr      => cpu_addr(0),
      addr      => cpu_addr(0),
      data_in   => cpu_data_out,
      data_in   => cpu_data_out,
      data_out  => acia_data_out,
      data_out  => acia_data_out,
      irq       => acia_irq,
      irq       => acia_irq,
      RxC       => acia_clk,
      RxC       => acia_clk,
      TxC       => acia_clk,
      TxC       => acia_clk,
      RxD       => RS232_RXD,
      RxD       => RXD,
      TxD       => RS232_TXD,
      TxD       => TXD,
      DCD_n     => dcd_n,
      DCD_n     => DCD_n,
      CTS_n     => RS232_CTS,
      CTS_n     => CTS_n,
      RTS_n     => RS232_RTS
      RTS_n     => RTS_n
    );
    );
  dcd_n <= '0';
 
 
  --
 
  -- RS232 signals:
 
  --
 
  my_acia_assignments : process( RS232_RXD, RS232_CTS, TXD, RTS_n )
 
  begin
 
    RXD       <= RS232_RXD;
 
    CTS_n     <= RS232_CTS;
 
    DCD_n     <= '0';
 
    RS232_TXD <= TXD;
 
    RS232_RTS <= RTS_n;
 
  end process;
 
 
  my_ACIA_Clock : ACIA_Clock
  my_ACIA_Clock : ACIA_Clock
    generic map(
    generic map(
      SYS_CLK_FREQ  =>  SYS_CLK_FREQ,
      SYS_CLK_FREQ  =>  SYS_CLK_FREQ,
      ACIA_CLK_FREQ => ACIA_CLK_FREQ
      ACIA_CLK_FREQ => ACIA_CLK_FREQ
    )
    )
    port map(
    port map(
      clk        => Clk_i,
      clk        => clk_i,
      acia_clk   => acia_clk
      acia_clk   => acia_clk
    );
    );
 
 
  ----------------------------------------
  ----------------------------------------
  --
  --
  -- Timer Module
  -- Timer Module
  --
  --
  ----------------------------------------
  ----------------------------------------
  my_timer  : timer
  my_timer  : timer
    port map (
    port map (
      clk       => cpu_clk,
      clk       => cpu_clk,
      rst       => cpu_reset,
      rst       => cpu_reset,
      cs        => timer_cs,
      cs        => timer_cs,
      rw        => cpu_rw,
      rw        => cpu_rw,
      addr      => cpu_addr(0),
      addr      => cpu_addr(0),
      data_in   => cpu_data_out,
      data_in   => cpu_data_out,
      data_out  => timer_data_out,
      data_out  => timer_data_out,
      irq       => timer_irq
      irq       => timer_irq
    );
    );
 
 
  ----------------------------------------
  ----------------------------------------
  --
  --
  -- Bus Trap Interrupt logic
  -- Bus Trap Interrupt logic
  --
  --
  ----------------------------------------
  ----------------------------------------
  my_trap : trap
  my_trap : trap
    port map (
    port map (
      clk        => cpu_clk,
      clk        => cpu_clk,
      rst        => cpu_reset,
      rst        => cpu_reset,
      cs         => trap_cs,
      cs         => trap_cs,
      rw         => cpu_rw,
      rw         => cpu_rw,
      vma        => cpu_vma,
      vma        => cpu_vma,
      addr       => cpu_addr,
      addr       => cpu_addr,
      data_in    => cpu_data_out,
      data_in    => cpu_data_out,
      data_out   => trap_data_out,
      data_out   => trap_data_out,
      irq        => trap_irq
      irq        => trap_irq
    );
    );
 
 
  my_dat : dat_ram
  my_dat : dat_ram
    port map (
    port map (
      clk       => cpu_clk,
      clk       => cpu_clk,
      rst       => cpu_reset,
      rst       => cpu_reset,
      cs        => dat_cs,
      cs        => dat_cs,
      rw        => cpu_rw,
      rw        => cpu_rw,
      addr_hi   => cpu_addr(15 downto 12),
      addr_hi   => cpu_addr(15 downto 12),
      addr_lo   => cpu_addr(3 downto 0),
      addr_lo   => cpu_addr(3 downto 0),
      data_in   => cpu_data_out,
      data_in   => cpu_data_out,
      data_out  => dat_addr(7 downto 0)
      data_out  => dat_addr(7 downto 0)
    );
    );
 
 
  cpu_clk_buffer : BUFG
  cpu_clk_buffer : BUFG
    port map(
    port map(
      i => Clk25,
      i => Clk25,
      o => cpu_clk
      o => cpu_clk
    );
    );
 
 
  ----------------------------------------------------------------------
  ----------------------------------------------------------------------
  --
  --
  -- Process to decode memory map
  -- Process to decode memory map
  --
  --
  ----------------------------------------------------------------------
  ----------------------------------------------------------------------
 
 
  mem_decode: process( cpu_addr, cpu_rw, cpu_vma,
  mem_decode: process( cpu_addr, cpu_rw, cpu_vma,
                     dat_addr,
                     dat_addr,
                     rom_data_out,
                     rom_data_out,
                     flex_data_out,
                     flex_data_out,
                     acia_data_out,
                     acia_data_out,
                     timer_data_out,
                     timer_data_out,
                     trap_data_out,
                     trap_data_out,
                     ram1_data_out, ram2_data_out
                     ram1_data_out, ram2_data_out
                     )
                     )
  begin
  begin
    cpu_data_in <= (others=>'0');
    cpu_data_in <= (others=>'0');
    dat_cs      <= '0';
    dat_cs      <= '0';
    rom_cs      <= '0';
    rom_cs      <= '0';
    flex_cs     <= '0';
    flex_cs     <= '0';
    acia_cs     <= '0';
    acia_cs     <= '0';
    timer_cs    <= '0';
    timer_cs    <= '0';
    trap_cs     <= '0';
    trap_cs     <= '0';
    ram1_cs      <= '0';
    ram1_cs     <= '0';
    ram2_cs      <= '0';
    ram2_cs      <= '0';
 
    ram3_cs     <= '0';
 
 
    if cpu_addr( 15 downto 8 ) = "11111111" then  -- $FFxx
    if cpu_addr( 15 downto 8 ) = "11111111" then  -- $FFxx
      cpu_data_in <= rom_data_out;
      cpu_data_in <= rom_data_out;
      dat_cs      <= cpu_vma;              -- write DAT
      dat_cs      <= cpu_vma;              -- write DAT
      rom_cs      <= cpu_vma;              -- read  ROM
      rom_cs      <= cpu_vma;              -- read  ROM
 
 
    --
    --
    -- Sys09Bug Monitor ROM $F000 - $FFFF
    -- Sys09Bug Monitor ROM $F000 - $FFFF
    --
    --
    elsif dat_addr(3 downto 0) = "1111" then -- $XF000 - $XFFFF
    elsif dat_addr(3 downto 0) = "1111" then -- $XF000 - $XFFFF
      cpu_data_in <= rom_data_out;
      cpu_data_in <= rom_data_out;
      rom_cs      <= cpu_vma;
      rom_cs      <= cpu_vma;
 
 
    --
    --
    -- IO Devices $E000 - $E7FF
    -- IO Devices $E000 - $E7FF
    --
    --
    elsif dat_addr(3 downto 0) = "1110" then -- $XE000 - $XEFFF
    elsif dat_addr(3 downto 0) = "1110" then -- $XE000 - $XEFFF
      case cpu_addr(11 downto 8) is
      case cpu_addr(11 downto 8) is
        --
        --
        -- SWTPC peripherals from $E000 to $E0FF
        -- SWTPC peripherals from $E000 to $E0FF
        --
        --
        when "0000" =>
        when "0000" =>
          case cpu_addr(7 downto 4) is
          case cpu_addr(7 downto 4) is
          --
          --
          -- Console Port ACIA $E000 - $E00F
          -- Console Port ACIA $E000 - $E00F
          --
          --
            when "0000" => -- $E000
            when "0000" => -- $E000
              cpu_data_in <= acia_data_out;
              cpu_data_in <= acia_data_out;
              acia_cs     <= cpu_vma;
              acia_cs     <= cpu_vma;
 
 
            --
            --
            -- Reserved
            -- Reserved
            -- Floppy Disk Controller port $E010 - $E01F
            -- Floppy Disk Controller port $E010 - $E01F
            --
            --
 
 
            --
            --
            -- Reserved SWTPc MP-T Timer $E040 - $E04F
            -- Reserved SWTPc MP-T Timer $E040 - $E04F
            --
            --
            when "0100" => -- $E040
            when "0100" => -- $E040
              cpu_data_in <= (others=> '0');
              cpu_data_in <= (others=> '0');
 
 
            --
            --
            -- Timer $E050 - $E05F
            -- Timer $E050 - $E05F
            --
            --
            when "0101" => -- $E050
            when "0101" => -- $E050
              cpu_data_in <= timer_data_out;
              cpu_data_in <= timer_data_out;
              timer_cs    <= cpu_vma;
              timer_cs    <= cpu_vma;
 
 
            --
            --
            -- Bus Trap Logic $E060 - $E06F
            -- Bus Trap Logic $E060 - $E06F
            --
            --
            when "0110" => -- $E060
            when "0110" => -- $E060
              cpu_data_in <= trap_data_out;
              cpu_data_in <= trap_data_out;
              trap_cs     <= cpu_vma;
              trap_cs     <= cpu_vma;
 
 
            --
            --
            -- Reserved SWTPc MP-ID PIA Timer/Printer Port $E080 - $E08F
            -- Reserved SWTPc MP-ID PIA Timer/Printer Port $E080 - $E08F
            --
            --
 
 
            --
            --
            -- Reserved SWTPc MP-ID PTM 6840 Timer Port $E090 - $E09F
            -- Reserved SWTPc MP-ID PTM 6840 Timer Port $E090 - $E09F
            --
            --
 
 
            --
            --
            -- Remaining 6 slots reserved for non SWTPc Peripherals
            -- Remaining 6 slots reserved for non SWTPc Peripherals
            --
            --
            when others => -- $E0A0 to $E0FF
            when others => -- $E0A0 to $E0FF
              null;
              null;
          end case;
          end case;
 
 
        --
        --
        -- $E200 to $EFFF reserved for future use
        -- $E200 to $EFFF reserved for future use
        --
        --
        when others =>
        when others =>
           null;
           null;
      end case;
      end case;
 
 
    --
    --
    -- Flex RAM $0C000 - $0DFFF
    -- Block RAM (32k) $00000 - $07FFF
    --
 
    elsif dat_addr(7 downto 1) = "0000110" then -- $0C000 - $0DFFF
 
      cpu_data_in <= flex_data_out;
 
      flex_cs     <= cpu_vma;
 
 
 
    --
 
    -- 32k RAM $00000 - $07FFF
 
    --
    --
    elsif dat_addr(7 downto 1) = "0000000" then -- $00000 - $07FFF
    elsif dat_addr(7 downto 3) = "00000"   then -- $00000 - $07FFF
      cpu_data_in <= ram1_data_out;
      cpu_data_in <= ram1_data_out;
      ram1_cs     <= cpu_vma;
      ram1_cs     <= cpu_vma;
 
 
    --
    --
    -- 16k RAM $08000 - $0BFFF
    -- Block RAM (16k) $08000 - $0BFFF
    --
    --
    elsif dat_addr(7 downto 1) = "0000100" then -- $08000 - $0BFFF
    elsif dat_addr(7 downto 2) = "000010"  then -- $08000 - $0BFFF
      cpu_data_in <= ram2_data_out;
      cpu_data_in <= ram2_data_out;
      ram2_cs     <= cpu_vma;
      ram2_cs     <= cpu_vma;
 
 
    --
    --
 
    -- Flex RAM (8k) $0C000 - $0DFFF
 
    --
 
    elsif dat_addr(7 downto 1) = "0000110" then -- $0C000 - $0DFFF
 
      cpu_data_in <= flex_data_out;
 
      flex_cs     <= cpu_vma;
 
 
 
    --
    -- Everything else is RAM
    -- Everything else is RAM
    --
    --
    else
    else
      cpu_data_in <= (others => '0');
      cpu_data_in <= (others => '0');
      ram3_cs      <= cpu_vma;
      ram3_cs      <= cpu_vma;
    end if;
    end if;
 
 
  end process;
  end process;
 
 
  --
  --
  -- Interrupts and other bus control signals
  -- Interrupts and other bus control signals
  --
  --
  interrupts : process( NMI_N,
  interrupts : process( NMI,
                      acia_irq,
                      acia_irq,
                      trap_irq,
                      trap_irq,
                      timer_irq
                      timer_irq
                      )
                      )
  begin
  begin
    cpu_irq    <= acia_irq;
    cpu_irq    <= acia_irq;
    cpu_nmi    <= trap_irq or not( NMI_N );
    cpu_nmi    <= trap_irq or NMI;
    cpu_firq   <= timer_irq;
    cpu_firq   <= timer_irq;
    cpu_halt   <= '0';
    cpu_halt   <= '0';
    cpu_hold   <= '0'; -- pb_hold or ram_hold;
    cpu_hold   <= '0'; -- pb_hold or ram_hold;
  end process;
  end process;
 
 
  --
  --
  -- Flash 7 segment LEDS
  -- Flash 7 segment LEDS
  --
  --
  my_led_flasher: process( clk_i, rst_i, CountL )
  my_led_flasher: process( clk_i, rst_i, CountL )
  begin
  begin
    if rst_i = '1' then
    if rst_i = '1' then
         CountL <= "000000000000000000000000";
         CountL <= "000000000000000000000000";
    elsif rising_edge(clk_i) then
    elsif rising_edge(clk_i) then
         CountL <= CountL + 1;
         CountL <= CountL + 1;
    end if;
    end if;
    --S(7 downto 0) <= CountL(23 downto 16);
 
  end process;
  end process;
 
 
 
  status_leds : process( rst_i, cpu_reset, cpu_addr, NMI, cpu_data_in, cpu_rw, CountL, sw)
 
 
 
 
  status_leds : process( rst_i, cpu_reset,cpu_addr, cpu_rw, sw)
 
  begin
  begin
    S(7) <= '0';
    S(7) <= '0';
    S(6) <= cpu_rw;
    S(6) <= CountL(23);
         S(5) <= cpu_vma;
         S(5) <= cpu_rw;
         S(4) <= '0';
         S(4) <= NMI;
    case sw is
    case sw is
         when "00000000" =>
         when "000" =>
           S(3 downto 0) <= cpu_addr(3 downto 0);
           S(3 downto 0) <= cpu_addr(3 downto 0);
    when "00000001" =>
    when "001" =>
           S(3 downto 0) <= cpu_addr(7 downto 4);
           S(3 downto 0) <= cpu_addr(7 downto 4);
         when "00000010" =>
         when "010" =>
           S(3 downto 0) <= cpu_addr(11 downto 8);
           S(3 downto 0) <= cpu_addr(11 downto 8);
    when "00000011" =>
    when "011" =>
           S(3 downto 0) <= cpu_addr(15 downto 12);
           S(3 downto 0) <= cpu_addr(15 downto 12);
    when "00000100" =>
    when "100" =>
           S(3 downto 0) <= cpu_data_in(3 downto 0);
           S(3 downto 0) <= cpu_data_in(3 downto 0);
    when "00000101" =>
    when "101" =>
           S(3 downto 0) <= cpu_data_in(7 downto 4);
           S(3 downto 0) <= cpu_data_in(7 downto 4);
    when others => S(3 downto 0) <= (others => '0');
    when others => S(3 downto 0) <= (others => '0');
         end case;
         end case;
  end process;
  end process;
 
 
--  debug_proc : process( cpu_reset, cpu_clk, cpu_rw, cpu_vma,
--  debug_proc : process( cpu_reset, cpu_clk, cpu_rw, cpu_vma,
--                      cpu_halt, cpu_hold,
--                      cpu_halt, cpu_hold,
--                      cpu_firq, cpu_irq, cpu_nmi,
--                      cpu_firq, cpu_irq, cpu_nmi,
--                      cpu_addr, cpu_data_out, cpu_data_in )
--                      cpu_addr, cpu_data_out, cpu_data_in )
--  begin
--  begin
--    cpu_reset_o    <= cpu_reset;
--    cpu_reset_o    <= cpu_reset;
--    cpu_clk_o      <= cpu_clk;
--    cpu_clk_o      <= cpu_clk;
--    cpu_rw_o       <= cpu_rw;
--    cpu_rw_o       <= cpu_rw;
--    cpu_vma_o      <= cpu_vma;
--    cpu_vma_o      <= cpu_vma;
--    cpu_halt_o     <= cpu_halt;
--    cpu_halt_o     <= cpu_halt;
--    cpu_hold_o     <= cpu_hold;
--    cpu_hold_o     <= cpu_hold;
--    cpu_firq_o     <= cpu_firq;
--    cpu_firq_o     <= cpu_firq;
--    cpu_irq_o      <= cpu_irq;
--    cpu_irq_o      <= cpu_irq;
--    cpu_nmi_o      <= cpu_nmi;
--    cpu_nmi_o      <= cpu_nmi;
--    cpu_addr_o     <= cpu_addr;
--    cpu_addr_o     <= cpu_addr;
--    cpu_data_out_o <= cpu_data_out;
--    cpu_data_out_o <= cpu_data_out;
--    cpu_data_in_o  <= cpu_data_in;
--    cpu_data_in_o  <= cpu_data_in;
--  end process;
--  end process;
 
 
end rtl; --===================== End of architecture =======================--
end rtl; --===================== End of architecture =======================--
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.