OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Digilent_Atlys/] [system09.vhd] - Diff between revs 212 and 217

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 212 Rev 217
Line 975... Line 975...
    elsif rising_edge(clk_i) then
    elsif rising_edge(clk_i) then
         CountL <= CountL + 1;
         CountL <= CountL + 1;
    end if;
    end if;
  end process;
  end process;
 
 
  status_leds : process( rst_i, cpu_reset, cpu_addr, NMI, cpu_data_in, cpu_rw, CountL, sw)
  status_leds : process( rst_i, cpu_reset, cpu_addr, NMI, cpu_data_in, cpu_rw, CountL, sw, keyboard_data_out)
  begin
  begin
    S(7) <= '0';
    S(7) <= '0';
    S(6) <= CountL(24);
    S(6) <= CountL(24);
         S(5) <= cpu_reset;
         S(5) <= cpu_reset;
         S(4) <= NMI;
         S(4) <= NMI;
Line 994... Line 994...
           S(3 downto 0) <= cpu_addr(15 downto 12);
           S(3 downto 0) <= cpu_addr(15 downto 12);
    when "100" =>
    when "100" =>
           S(3 downto 0) <= cpu_data_in(3 downto 0);
           S(3 downto 0) <= cpu_data_in(3 downto 0);
    when "101" =>
    when "101" =>
           S(3 downto 0) <= cpu_data_in(7 downto 4);
           S(3 downto 0) <= cpu_data_in(7 downto 4);
 
    when "110" =>
 
           S(3 downto 0) <= keyboard_data_out(3 downto 0);
 
    when "111" =>
 
           S(3 downto 0) <= keyboard_data_out(7 downto 4);
    when others => S(3 downto 0) <= (others => '0');
    when others => S(3 downto 0) <= (others => '0');
         end case;
         end case;
  end process;
  end process;
 
 
--  debug_proc : process( cpu_reset, cpu_clk, cpu_rw, cpu_vma,
--  debug_proc : process( cpu_reset, cpu_clk, cpu_rw, cpu_vma,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.