OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Digilent_ZyboZ20/] [system09.ucf] - Diff between revs 199 and 200

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 199 Rev 200
Line 135... Line 135...
#set_property -dict { PACKAGE_PIN A20   IOSTANDARD TMDS_33  } [get_ports { hdmi_out_data_n[2]  }]; #IO_L2N_T0_AD8N_35 Sch=hdmi_tx_n[2]
#set_property -dict { PACKAGE_PIN A20   IOSTANDARD TMDS_33  } [get_ports { hdmi_out_data_n[2]  }]; #IO_L2N_T0_AD8N_35 Sch=hdmi_tx_n[2]
#set_property -dict { PACKAGE_PIN B19   IOSTANDARD TMDS_33  } [get_ports { hdmi_out_data_p[2]  }]; #IO_L2P_T0_AD8P_35 Sch=hdmi_tx_p[2]
#set_property -dict { PACKAGE_PIN B19   IOSTANDARD TMDS_33  } [get_ports { hdmi_out_data_p[2]  }]; #IO_L2P_T0_AD8P_35 Sch=hdmi_tx_p[2]
 
 
#set_property -dict { PACKAGE_PIN E18   IOSTANDARD LVCMOS33 } [get_ports { hdmi_out_hpd   }]; #IO_L5P_T0_AD9P_35 Sch=hdmi_tx_hpd
#set_property -dict { PACKAGE_PIN E18   IOSTANDARD LVCMOS33 } [get_ports { hdmi_out_hpd   }]; #IO_L5P_T0_AD9P_35 Sch=hdmi_tx_hpd
 
 
 
# NET "TMDS_Clk_p" LOC = "H16"; # Clock p
 
# NET "TMDS_Clk_n" LOC = "H17"; # Clock n
 
# NET "TMDS_Data_p[2]" LOC = "B19"; #
 
# NET "TMDS_Data_n[2]" LOC = "A20"; # Red
 
# NET "TMDS_Data_p[1]" LOC = "C20"; #
 
# NET "TMDS_Data_n[1]" LOC = "B20"; # Green
 
# NET "TMDS_Data_p[0]" LOC = "D19"; #
 
# NET "TMDS_Data_n[0]" LOC = "D20"; # Blue
 
 
 
# NET "TMDS_Clk_p" IOSTANDARD = TMDS_33;
 
# NET "TMDS_Clk_n" IOSTANDARD = TMDS_33;
 
# NET "TMDS_Data_p[2]" IOSTANDARD = TMDS_33;
 
# NET "TMDS_Data_n[2]" IOSTANDARD = TMDS_33;
 
# NET "TMDS_Data_p[1]" IOSTANDARD = TMDS_33;
 
# NET "TMDS_Data_n[1]" IOSTANDARD = TMDS_33;
 
# NET "TMDS_Data_p[0]" IOSTANDARD = TMDS_33;
 
# NET "TMDS_Data_n[0]" IOSTANDARD = TMDS_33;
 
 
#HDMI TX CEC
#HDMI TX CEC
#set_property -dict { PACKAGE_PIN E19   IOSTANDARD LVCMOS33 } [get_ports { hdmi_tx_cec }]; #IO_L5N_T0_AD9N_35 Sch=hdmi_tx_cec
#set_property -dict { PACKAGE_PIN E19   IOSTANDARD LVCMOS33 } [get_ports { hdmi_tx_cec }]; #IO_L5N_T0_AD9N_35 Sch=hdmi_tx_cec
 
 
 
 
Line 182... Line 199...
#set_property -dict { PACKAGE_PIN U14   IOSTANDARD LVCMOS33     } [get_ports { jd[4] }]; #IO_L11P_T1_SRCC_34 Sch=jd_p[3]
#set_property -dict { PACKAGE_PIN U14   IOSTANDARD LVCMOS33     } [get_ports { jd[4] }]; #IO_L11P_T1_SRCC_34 Sch=jd_p[3]
#set_property -dict { PACKAGE_PIN U15   IOSTANDARD LVCMOS33     } [get_ports { jd[5] }]; #IO_L11N_T1_SRCC_34 Sch=jd_n[3]
#set_property -dict { PACKAGE_PIN U15   IOSTANDARD LVCMOS33     } [get_ports { jd[5] }]; #IO_L11N_T1_SRCC_34 Sch=jd_n[3]
#set_property -dict { PACKAGE_PIN V17   IOSTANDARD LVCMOS33     } [get_ports { jd[6] }]; #IO_L21P_T3_DQS_34 Sch=jd_p[4]
#set_property -dict { PACKAGE_PIN V17   IOSTANDARD LVCMOS33     } [get_ports { jd[6] }]; #IO_L21P_T3_DQS_34 Sch=jd_p[4]
#set_property -dict { PACKAGE_PIN V18   IOSTANDARD LVCMOS33     } [get_ports { jd[7] }]; #IO_L21N_T3_DQS_34 Sch=jd_n[4]
#set_property -dict { PACKAGE_PIN V18   IOSTANDARD LVCMOS33     } [get_ports { jd[7] }]; #IO_L21N_T3_DQS_34 Sch=jd_n[4]
 
 
 
# Temp VGA output (the raw output from VDU8)
 
NET "red" LOC = "T14";
 
NET "red" IOSTANDARD = LVCMOS33;
 
NET "green" LOC = "T15";
 
NET "green" IOSTANDARD = LVCMOS33;
 
NET "blue" LOC = "P14";
 
NET "blue" IOSTANDARD = LVCMOS33;
 
NET "hsync" LOC = "R14";
 
NET "hsync" IOSTANDARD = LVCMOS33;
 
NET "vsync" LOC = "U14";
 
NET "vsync" IOSTANDARD = LVCMOS33;
 
NET "blank" LOC = "U15";
 
NET "blank" IOSTANDARD = LVCMOS33;
 
 
##Pmod Header JE
##Pmod Header JE
#set_property -dict { PACKAGE_PIN V12   IOSTANDARD LVCMOS33 } [get_ports { je[0] }]; #IO_L4P_T0_34 Sch=je[1]
#set_property -dict { PACKAGE_PIN V12   IOSTANDARD LVCMOS33 } [get_ports { je[0] }]; #IO_L4P_T0_34 Sch=je[1]
#set_property -dict { PACKAGE_PIN W16   IOSTANDARD LVCMOS33 } [get_ports { je[1] }]; #IO_L18N_T2_34 Sch=je[2]
#set_property -dict { PACKAGE_PIN W16   IOSTANDARD LVCMOS33 } [get_ports { je[1] }]; #IO_L18N_T2_34 Sch=je[2]
#set_property -dict { PACKAGE_PIN J15   IOSTANDARD LVCMOS33 } [get_ports { je[2] }]; #IO_25_35 Sch=je[3]
#set_property -dict { PACKAGE_PIN J15   IOSTANDARD LVCMOS33 } [get_ports { je[2] }]; #IO_25_35 Sch=je[3]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.