OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [VHDL/] [ACIA_Clock.vhd] - Diff between revs 99 and 118

Show entire file | Details | Blame | View Log

Rev 99 Rev 118
Line 11... Line 11...
--                  
--                  
--  Dependencies   : ieee.std_logic_1164
--  Dependencies   : ieee.std_logic_1164
--                   ieee.std_logic_arith
--                   ieee.std_logic_arith
--                   ieee.std_logic_unsigned
--                   ieee.std_logic_unsigned
--                   ieee.numeric_std
--                   ieee.numeric_std
--                   unisim.vcomponents
 
--                   work.bit_funcs
--                   work.bit_funcs
--
--
--  Author         : John E. Kent
--  Author         : John E. Kent
--
--
--  Email          : dilbert57@opencores.org      
--  Email          : dilbert57@opencores.org      
Line 53... Line 52...
library ieee;
library ieee;
   use ieee.std_logic_1164.all;
   use ieee.std_logic_1164.all;
   use ieee.std_logic_arith.all;
   use ieee.std_logic_arith.all;
   use ieee.std_logic_unsigned.all;
   use ieee.std_logic_unsigned.all;
   use ieee.numeric_std.all;
   use ieee.numeric_std.all;
library unisim;
--library unisim;
        use unisim.vcomponents.all;
--      use unisim.vcomponents.all;
library work;
library work;
   use work.bit_funcs.all;
   use work.bit_funcs.all;
 
 
entity acia_clock is
entity acia_clock is
  generic (
  generic (

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.