OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [VHDL/] [keyboard.vhd] - Diff between revs 99 and 118

Show entire file | Details | Blame | View Log

Rev 99 Rev 118
Line 12... Line 12...
--                  
--                  
--  Dependencies   : ieee.std_logic_1164
--  Dependencies   : ieee.std_logic_1164
--                   ieee.std_logic_arith
--                   ieee.std_logic_arith
--                   ieee.std_logic_unsigned
--                   ieee.std_logic_unsigned
--                   ieee.numeric_std
--                   ieee.numeric_std
--                   unisim.vcomponents
 
--
--
--  Uses           : ps2_keyboard_interface
--  Uses           : ps2_keyboard_interface
--
--
--  Author         : John E. Kent
--  Author         : John E. Kent
--
--
Line 86... Line 85...
library ieee;
library ieee;
   use ieee.std_logic_1164.all;
   use ieee.std_logic_1164.all;
   use ieee.std_logic_arith.all;
   use ieee.std_logic_arith.all;
   use ieee.std_logic_unsigned.all;
   use ieee.std_logic_unsigned.all;
   use ieee.numeric_std.all;
   use ieee.numeric_std.all;
library unisim;
--library unisim;
   use unisim.vcomponents.all;
--   use unisim.vcomponents.all;
 
 
entity keyboard is
entity keyboard is
  generic (
  generic (
  KBD_CLK_FREQ : integer
  KBD_CLK_FREQ : integer
  );
  );
Line 247... Line 246...
  --
  --
  -- Assign Keyboard Status bits
  -- Assign Keyboard Status bits
  --
  --
  keyboard_status : process( kbd_data_ready, kbd_data_empty,
  keyboard_status : process( kbd_data_ready, kbd_data_empty,
                             kbd_extended, kbd_released, kbd_shift_on, kbd_error,
                             kbd_extended, kbd_released, kbd_shift_on, kbd_error,
                             kbd_control)
                             kbd_control, kbd_status )
  begin
  begin
    kbd_status(0) <= kbd_data_ready;
    kbd_status(0) <= kbd_data_ready;
    kbd_status(1) <= kbd_data_empty;
    kbd_status(1) <= kbd_data_empty;
    kbd_status(2) <= kbd_extended;
    kbd_status(2) <= kbd_extended;
    kbd_status(3) <= kbd_released;
    kbd_status(3) <= kbd_released;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.