OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [VHDL/] [spi-master.vhd] - Diff between revs 99 and 118

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 99 Rev 118
Line 9... Line 9...
--  Entity name    : spi-master
--  Entity name    : spi-master
--
--
--  Purpose        : Implements a SPI Master Controller
--  Purpose        : Implements a SPI Master Controller
--                  
--                  
--  Dependencies   : ieee.std_logic_1164
--  Dependencies   : ieee.std_logic_1164
--                   ieee.std_logic_arith
 
--                   ieee.std_logic_unsigned
--                   ieee.std_logic_unsigned
--                   ieee.numeric_std
 
--                   unisim.vcomponents
 
--
--
--  Author         : Hans Huebner
--  Author         : Hans Huebner
--
--
--  Email          : hans@huebner.org  
--  Email          : hans@huebner.org  
--
--

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.