OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk/] [ANN_kernel/] [RTL_VHDL_files/] [support_pkg.vhd] - Diff between revs 10 and 12

Show entire file | Details | Blame | View Log

Rev 10 Rev 12
Line 2... Line 2...
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_1164.all;
use IEEE.numeric_std.all;
use IEEE.numeric_std.all;
use work.layers_pkg.all;
use work.layers_pkg.all;
package support_pkg is
package support_pkg is
 
 
    -- generic constants:
 
 
 
  constant NbitIn   : natural := 12;
  constant NbitIn   : natural := 12;
  constant LSB_In   : natural := 8;
  constant LSB_In   : natural := 8;
  constant Nbit     : natural := 12;
  constant Nbit     : natural := 12;
  constant NbitW    : natural := 24;
  constant NbitW    : natural := 24;
  constant LSB_OUT  : natural := 8;
  constant LSB_OUT  : natural := 8;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.