OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk/] [test_bench/] [makefile] - Diff between revs 8 and 11

Show entire file | Details | Blame | View Log

Rev 8 Rev 11
Line 3... Line 3...
VHDLS = \
VHDLS = \
        ${SRC_KER_DIR}/layers_pkg.vhd \
        ${SRC_KER_DIR}/layers_pkg.vhd \
        ${SRC_KER_DIR}/support_pkg.vhd \
        ${SRC_KER_DIR}/support_pkg.vhd \
        ${SRC_KER_DIR}/wb_init.vhd \
        ${SRC_KER_DIR}/wb_init.vhd \
        ${SRC_KER_DIR}/mac.vhd \
        ${SRC_KER_DIR}/mac.vhd \
 
        ${SRC_KER_DIR}/af_sigmoid.vhd \
        ${SRC_KER_DIR}/af_sigmoid2.vhd \
        ${SRC_KER_DIR}/af_sigmoid2.vhd \
 
        ${SRC_KER_DIR}/af_sigmoid_mat.vhd \
        ${SRC_KER_DIR}/activation_function.vhd \
        ${SRC_KER_DIR}/activation_function.vhd \
        ${SRC_KER_DIR}/shiftreg_pl.vhd \
        ${SRC_KER_DIR}/shiftreg_pl.vhd \
        ${SRC_KER_DIR}/shiftreg_pu.vhd \
        ${SRC_KER_DIR}/shiftreg_pu.vhd \
        ${SRC_KER_DIR}/adder_tree.vhd \
        ${SRC_KER_DIR}/adder_tree.vhd \
        ${SRC_KER_DIR}/layerPS.vhd \
        ${SRC_KER_DIR}/layerPS.vhd \

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.