OpenCores
URL https://opencores.org/ocsvn/ax4lbr/ax4lbr/trunk

Subversion Repositories ax4lbr

[/] [ax4lbr/] [trunk/] [rtl/] [axil2ipb.vhd] - Diff between revs 2 and 4

Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 84... Line 84...
    S_AXI_RREADY  : in  std_logic;
    S_AXI_RREADY  : in  std_logic;
    -- Write Response Channel
    -- Write Response Channel
    S_AXI_BRESP   : out std_logic_vector(1 downto 0);
    S_AXI_BRESP   : out std_logic_vector(1 downto 0);
    S_AXI_BVALID  : out std_logic;
    S_AXI_BVALID  : out std_logic;
    S_AXI_BREADY  : in  std_logic;
    S_AXI_BREADY  : in  std_logic;
 
    -- AWPROT and ARPROT - required by Altera
 
    --S_AXI_ARPROT  : in  std_logic_vector(2 downto 0);
 
    --S_AXI_AWPROT  : in  std_logic_vector(2 downto 0);
    -- Here we have the IPbus ports
    -- Here we have the IPbus ports
    ipb_clk       : out std_logic;
    ipb_clk       : out std_logic;
    ipb_rst       : out std_logic;
    ipb_rst       : out std_logic;
    -- master_ipb_out - flattened due to Vivado inability to handle user types
    -- master_ipb_out - flattened due to Vivado inability to handle user types
    -- in BD
    -- in BD

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.