OpenCores
URL https://opencores.org/ocsvn/cpu_lecture/cpu_lecture/trunk

Subversion Repositories cpu_lecture

[/] [cpu_lecture/] [trunk/] [src/] [alu.vhd] - Diff between revs 11 and 17

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 11 Rev 17
Line 299... Line 299...
            when ALU_PC_2 =>    -- CALL
            when ALU_PC_2 =>    -- CALL
                L_DOUT <= I_PC + X"0002";
                L_DOUT <= I_PC + X"0002";
 
 
            when ALU_ROR =>
            when ALU_ROR =>
                L_DOUT <= L_ROR_D & L_ROR_D;
                L_DOUT <= L_ROR_D & L_ROR_D;
 
                Q_FLAGS(0) <= L_D8(0);                              -- Carry
                Q_FLAGS(1) <= ze(L_ROR_D);                          -- Zero
                Q_FLAGS(1) <= ze(L_ROR_D);                          -- Zero
                Q_FLAGS(2) <= I_FLAGS(0);                           -- Negative
                Q_FLAGS(2) <= I_FLAGS(0);                           -- Negative
                Q_FLAGS(3) <= I_FLAGS(0) xor L_D8(0);               -- Overflow
                Q_FLAGS(3) <= I_FLAGS(0) xor L_D8(0);               -- Overflow
                Q_FLAGS(4) <= I_FLAGS(0);                           -- Signed
                Q_FLAGS(4) <= I_FLAGS(0);                           -- Signed
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.