OpenCores
URL https://opencores.org/ocsvn/cpu_lecture/cpu_lecture/trunk

Subversion Repositories cpu_lecture

[/] [cpu_lecture/] [trunk/] [src/] [register_file.vhd] - Diff between revs 2 and 12

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 12
Line 177... Line 177...
            when "001011" => L_S <= R_R22;
            when "001011" => L_S <= R_R22;
            when "001100" => L_S <= R_R24;
            when "001100" => L_S <= R_R24;
            when "001101" => L_S <= R_R26;
            when "001101" => L_S <= R_R26;
            when "001110" => L_S <= R_R28;
            when "001110" => L_S <= R_R28;
            when "001111" => L_S <= R_R30;
            when "001111" => L_S <= R_R30;
            when "101111" => L_S <= R_SP ( 7 downto 0) & X"00";     -- SPL
            when "101110" => L_S <= R_SP ( 7 downto 0) & X"00";     -- SPL
            when others   => L_S <= S_FLAGS & R_SP (15 downto 8);   -- SR/SPH
            when others   => L_S <= S_FLAGS & R_SP (15 downto 8);   -- SR/SPH
        end case;
        end case;
    end process;
    end process;
 
 
    -- The output of the register pair selected by I_DDDDD.
    -- The output of the register pair selected by I_DDDDD.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.