debounce_atlys_top Project Status (08/15/2011 - 23:26:18)
Project File: debounce_vhdl_bench.xise Parser Errors: No Errors
Module Name: debounce_atlys_top Implementation State: Programming File Generated
Target Device: xc6slx45-2csg324
  • Errors:
No Errors
Product Version:ISE 13.1
  • Warnings:
3 Warnings (3 new)
Design Goal: Balanced
  • Routing Results:
All Signals Completely Routed
Design Strategy: Xilinx Default (unlocked)
  • Timing Constraints:
All Constraints Met
Environment: System Settings
  • Final Timing Score:
0  (Timing Report)
 
Device Utilization Summary [-]
Slice Logic UtilizationUsedAvailableUtilizationNote(s)
Number of Slice Registers 42 54,576 1%  
    Number used as Flip Flops 42      
    Number used as Latches 0      
    Number used as Latch-thrus 0      
    Number used as AND/OR logics 0      
Number of Slice LUTs 37 27,288 1%  
    Number used as logic 36 27,288 1%  
        Number using O6 output only 18      
        Number using O5 output only 11      
        Number using O5 and O6 7      
        Number used as ROM 0      
    Number used as Memory 0 6,408 0%  
    Number used exclusively as route-thrus 1      
        Number with same-slice register load 0      
        Number with same-slice carry load 1      
        Number with other load 0      
Number of occupied Slices 19 6,822 1%  
Number of LUT Flip Flop pairs used 56      
    Number with an unused Flip Flop 20 56 35%  
    Number with an unused LUT 19 56 33%  
    Number of fully used LUT-FF pairs 17 56 30%  
    Number of unique control sets 3      
    Number of slice register sites lost
        to control set restrictions
6 54,576 1%  
Number of bonded IOBs 31 218 14%  
    Number of LOCed IOBs 31 31 100%  
Number of RAMB16BWERs 0 116 0%  
Number of RAMB8BWERs 0 232 0%  
Number of BUFIO2/BUFIO2_2CLKs 0 32 0%  
Number of BUFIO2FB/BUFIO2FB_2CLKs 0 32 0%  
Number of BUFG/BUFGMUXs 1 16 6%  
    Number used as BUFGs 1      
    Number used as BUFGMUX 0      
Number of DCM/DCM_CLKGENs 0 8 0%  
Number of ILOGIC2/ISERDES2s 0 376 0%  
Number of IODELAY2/IODRP2/IODRP2_MCBs 0 376 0%  
Number of OLOGIC2/OSERDES2s 0 376 0%  
Number of BSCANs 0 4 0%  
Number of BUFHs 0 256 0%  
Number of BUFPLLs 0 8 0%  
Number of BUFPLL_MCBs 0 4 0%  
Number of DSP48A1s 0 58 0%  
Number of ICAPs 0 1 0%  
Number of MCBs 0 2 0%  
Number of PCILOGICSEs 0 2 0%  
Number of PLL_ADVs 0 4 0%  
Number of PMVs 0 1 0%  
Number of STARTUPs 0 1 0%  
Number of SUSPEND_SYNCs 0 1 0%  
Average Fanout of Non-Clock Nets 2.37      
 
Performance Summary [-]
Final Timing Score: 0 (Setup: 0, Hold: 0) Pinout Data: Pinout Report
Routing Results: All Signals Completely Routed Clock Data: Clock Report
Timing Constraints: All Constraints Met    
 
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentMon Aug 15 23:25:02 201102 Warnings (2 new)0
Translation ReportCurrentMon Aug 15 23:25:16 2011000
Map ReportCurrentMon Aug 15 23:25:36 2011009 Infos (9 new)
Place and Route ReportCurrentMon Aug 15 23:25:47 201101 Warning (1 new)4 Infos (4 new)
Power Report     
Post-PAR Static Timing ReportCurrentMon Aug 15 23:25:54 2011003 Infos (3 new)
Bitgen ReportCurrentMon Aug 15 23:26:11 2011000
 
Secondary Reports [-]
Report NameStatusGenerated
Physical Synthesis ReportOut of DateMon Aug 15 23:25:35 2011
WebTalk ReportCurrentMon Aug 15 23:26:12 2011
WebTalk Log FileCurrentMon Aug 15 23:26:18 2011

Date Generated: 08/15/2011 - 23:26:18

Error running this command: diff -w -U 5 "/tmp/88gnJ2" ""

diff: : No such file or directory