OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] [README.txt] - Diff between revs 338 and 347

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 338 Rev 347
Line 1... Line 1...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
////  README.txt                                                  ////
////  README.txt                                                  ////
////                                                              ////
////                                                              ////
////  This file is part of the Ethernet IP core project           ////
////  This file is part of the Ethernet IP core project           ////
////  http://www.opencores.org/projects/ethmac/                   ////
////  http://www.opencores.org/project,ethmac                     ////
////                                                              ////
////                                                              ////
////  Author(s):                                                  ////
////  Author(s):                                                  ////
////      - Igor Mohor (igorM@opencores.org)                      ////
////      - Igor Mohor (igorM@opencores.org)                      ////
 
////      - Olof Kindgren (olof@opencores.org)                    ////
////                                                              ////
////                                                              ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2001, 2002 Authors                             ////
//// Copyright (C) 2001, 2002 Authors                             ////
Line 41... Line 42...
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
//
//
//
//
//
//
 
 
 
RUNNING the simulation/Testbench in Icarus Verilog:
 
 
 
Go to the scripts directory and write "make rtl-tests"
 
All logs will be saved in the log directory
 
 
 
VCD dumps are coming soon
 
 
RUNNING the simulation/Testbench in ModelSIM:
RUNNING the simulation/Testbench in ModelSIM:
 
 
Open ModelSIM project: ethernet/sim/rtl_sim/modelsim_sim/bin/ethernet.mpf
Open ModelSIM project: ethernet/sim/rtl_sim/modelsim_sim/bin/ethernet.mpf
Run the macro do.do (write "do do.do" in the command window).
Run the macro do.do (write "do do.do" in the command window).
Simulation will be automatically started. Logs are stored in the /log
Simulation will be automatically started. Logs are stored in the /log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.