OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] [README.txt] - Diff between revs 347 and 348

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 347 Rev 348
Line 47... Line 47...
RUNNING the simulation/Testbench in Icarus Verilog:
RUNNING the simulation/Testbench in Icarus Verilog:
 
 
Go to the scripts directory and write "make rtl-tests"
Go to the scripts directory and write "make rtl-tests"
All logs will be saved in the log directory
All logs will be saved in the log directory
 
 
VCD dumps are coming soon
To activate VCD dumps, run with "make rtl-tests VCD=1". The VCD is saved
 
in build/sim/ethmac.vcd
 
 
 
 
RUNNING the simulation/Testbench in ModelSIM:
RUNNING the simulation/Testbench in ModelSIM:
 
 
Open ModelSIM project: ethernet/sim/rtl_sim/modelsim_sim/bin/ethernet.mpf
Open ModelSIM project: ethernet/sim/rtl_sim/modelsim_sim/bin/ethernet.mpf
Run the macro do.do (write "do do.do" in the command window).
Run the macro do.do (write "do do.do" in the command window).

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.