OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] [bench/] [verilog/] [tb_eth_top.v] - Diff between revs 19 and 22

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 19 Rev 22
Line 1... Line 1...
//////////////////////////////////////////////////////////////////////
///////////3///////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
////  tb_eth_top.v                                                ////
////  tb_eth_top.v                                                ////
////                                                              ////
////                                                              ////
////  This file is part of the Ethernet IP core project           ////
////  This file is part of the Ethernet IP core project           ////
////  http://www.opencores.org/cores/ethmac/                      ////
////  http://www.opencores.org/cores/ethmac/                      ////
Line 39... Line 39...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.3  2001/09/24 14:55:49  mohor
 
// Defines changed (All precede with ETH_). Small changes because some
 
// tools generate warnings when two operands are together. Synchronization
 
// between two clocks domains in eth_wishbonedma.v is changed (due to ASIC
 
// demands).
 
//
// Revision 1.2  2001/08/15 14:04:30  mohor
// Revision 1.2  2001/08/15 14:04:30  mohor
// Signal names changed on the top level for easier pad insertion (ASIC).
// Signal names changed on the top level for easier pad insertion (ASIC).
//
//
// Revision 1.1  2001/08/06 14:41:09  mohor
// Revision 1.1  2001/08/06 14:41:09  mohor
// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex).
// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex).
Line 63... Line 69...
//
//
 
 
 
 
 
 
`include "eth_defines.v"
`include "eth_defines.v"
`include "eth_timescale.v"
`include "timescale.v"
 
 
module tb_eth_top();
module tb_eth_top();
 
 
 
 
parameter Tp = 1;
parameter Tp = 1;
Line 137... Line 143...
  //RX
  //RX
  .mrx_clk_pad_i(MRxClk), .mrxd_pad_i(MRxD), .mrxdv_pad_i(MRxDV), .mrxerr_pad_i(MRxErr),
  .mrx_clk_pad_i(MRxClk), .mrxd_pad_i(MRxD), .mrxdv_pad_i(MRxDV), .mrxerr_pad_i(MRxErr),
  .mcoll_pad_i(MColl), .mcrs_pad_i(MCrs),
  .mcoll_pad_i(MColl), .mcrs_pad_i(MCrs),
 
 
  // MIIM
  // MIIM
  .mdc_pad_o(Mdc_O), .md_pad_i(Mdi_I), .md_pad_o(Mdo_O), .md_padoen_o(Mdo_OE)
  .mdc_pad_o(Mdc_O), .md_pad_i(Mdi_I), .md_pad_o(Mdo_O), .md_padoen_o(Mdo_OE),
 
 
 
  .int_o()
);
);
 
 
 
 
 
 
 
 
Line 218... Line 226...
 
 
initial
initial
begin
begin
  wait(StartTB);  // Start of testbench
  wait(StartTB);  // Start of testbench
 
 
  WishboneWrite(32'h00000800, {`ETH_ETHERNET_SPACE, `ETH_REG_SPACE, 6'h0, `ETH_MODER_ADR<<2});    // r_Rst = 1
  WishboneWrite(32'h00000800, {26'h0, `ETH_MODER_ADR<<2});     // r_Rst = 1
  WishboneWrite(32'h00000000, {`ETH_ETHERNET_SPACE, `ETH_REG_SPACE, 6'h0, `ETH_MODER_ADR<<2});    // r_Rst = 0
  WishboneWrite(32'h00000000, {26'h0, `ETH_MODER_ADR<<2});     // r_Rst = 0
  WishboneWrite(32'h00000080, {`ETH_ETHERNET_SPACE, `ETH_REG_SPACE, 6'h0, `ETH_RX_BD_ADR_ADR<<2});// r_RxBDAddress = 0x80
  WishboneWrite(32'h00000080, {26'h0, `ETH_RX_BD_ADR_ADR<<2}); // r_RxBDAddress = 0x80
  WishboneWrite(32'h0002A443, {`ETH_ETHERNET_SPACE, `ETH_REG_SPACE, 6'h0, `ETH_MODER_ADR<<2});    // RxEn, Txen, FullD, CrcEn, Pad, DmaEn, r_IFG
  WishboneWrite(32'h0002A443, {26'h0, `ETH_MODER_ADR<<2});     // RxEn, Txen, FullD, CrcEn, Pad, DmaEn, r_IFG
  WishboneWrite(32'h00000004, {`ETH_ETHERNET_SPACE, `ETH_REG_SPACE, 6'h0, `ETH_CTRLMODER_ADR<<2});//r_TxFlow = 1
  WishboneWrite(32'h00000004, {26'h0, `ETH_CTRLMODER_ADR<<2}); //r_TxFlow = 1
 
 
 
 
 
 
 
 
  SendPacket(16'h0015, 1'b0);
  SendPacket(16'h0015, 1'b0);
Line 241... Line 249...
  ReceivePacket(16'h0016, 1'b0);    // Initializes RxBD and then generates traffic on the MRxD[3:0] signals.
  ReceivePacket(16'h0016, 1'b0);    // Initializes RxBD and then generates traffic on the MRxD[3:0] signals.
  ReceivePacket(16'h0017, 1'b0);    // Initializes RxBD and then generates traffic on the MRxD[3:0] signals.
  ReceivePacket(16'h0017, 1'b0);    // Initializes RxBD and then generates traffic on the MRxD[3:0] signals.
  ReceivePacket(16'h0018, 1'b0);    // Initializes RxBD and then generates traffic on the MRxD[3:0] signals.
  ReceivePacket(16'h0018, 1'b0);    // Initializes RxBD and then generates traffic on the MRxD[3:0] signals.
 
 
 
 
  WishboneRead({`ETH_ETHERNET_SPACE, `ETH_REG_SPACE, 6'h0, `ETH_MODER_ADR<<2});   // Read from MODER register
  WishboneRead({26'h0, `ETH_MODER_ADR});   // Read from MODER register
 
 
  WishboneRead({`ETH_ETHERNET_SPACE, `ETH_BD_SPACE, 2'h0, (10'h0<<2)});       // Read from TxBD register
  WishboneRead({24'h100, (8'h0<<2)});       // Read from TxBD register
  WishboneRead({`ETH_ETHERNET_SPACE, `ETH_BD_SPACE, 2'h0, (10'h1<<2)});       // Read from TxBD register
  WishboneRead({24'h100, (8'h1<<2)});       // Read from TxBD register
  WishboneRead({`ETH_ETHERNET_SPACE, `ETH_BD_SPACE, 2'h0, (10'h2<<2)});       // Read from TxBD register
  WishboneRead({24'h100, (8'h2<<2)});       // Read from TxBD register
  WishboneRead({`ETH_ETHERNET_SPACE, `ETH_BD_SPACE, 2'h0, (10'h3<<2)});       // Read from TxBD register
  WishboneRead({24'h100, (8'h3<<2)});       // Read from TxBD register
  WishboneRead({`ETH_ETHERNET_SPACE, `ETH_BD_SPACE, 2'h0, (10'h4<<2)});       // Read from TxBD register
  WishboneRead({24'h100, (8'h4<<2)});       // Read from TxBD register
 
 
  WishboneRead({`ETH_ETHERNET_SPACE, `ETH_BD_SPACE, 2'h0, (10'h80<<2)});       // Read from RxBD register
  WishboneRead({22'h40, (10'h80<<2)});       // Read from RxBD register
  WishboneRead({`ETH_ETHERNET_SPACE, `ETH_BD_SPACE, 2'h0, (10'h81<<2)});       // Read from RxBD register
  WishboneRead({22'h40, (10'h81<<2)});       // Read from RxBD register
  WishboneRead({`ETH_ETHERNET_SPACE, `ETH_BD_SPACE, 2'h0, (10'h82<<2)});       // Read from RxBD register
  WishboneRead({22'h40, (10'h82<<2)});       // Read from RxBD register
  WishboneRead({`ETH_ETHERNET_SPACE, `ETH_BD_SPACE, 2'h0, (10'h83<<2)});       // Read from RxBD register
  WishboneRead({22'h40, (10'h83<<2)});       // Read from RxBD register
  WishboneRead({`ETH_ETHERNET_SPACE, `ETH_BD_SPACE, 2'h0, (10'h84<<2)});       // Read from RxBD register
  WishboneRead({22'h40, (10'h84<<2)});       // Read from RxBD register
 
 
  #10000 $stop;
  #10000 $stop;
end
end
 
 
 
 
Line 297... Line 305...
 
 
    wait(WB_ACK_O);   // waiting for acknowledge response
    wait(WB_ACK_O);   // waiting for acknowledge response
 
 
    // Writing information about the access to the screen
    // Writing information about the access to the screen
    @ (posedge WB_CLK_I);
    @ (posedge WB_CLK_I);
    if(Address[31:16] == `ETH_ETHERNET_SPACE)
      if(~Address[17] & ~Address[16])
      if(Address[15:12] == `ETH_REG_SPACE)
        $write("\nWrite to register (Data: 0x%x, Reg. Addr: 0x%0x)", Data, Address);
        $write("\nWrite to register (Data: 0x%x, Reg. Addr: 0x%0x)", Data, Address[9:2]);
 
      else
      else
      if(Address[15:12] == `ETH_BD_SPACE)
      if(~Address[17] & Address[16])
        if(Address[9:2] < tb_eth_top.ethtop.r_RxBDAddress)
        if(Address[9:2] < tb_eth_top.ethtop.r_RxBDAddress)
          begin
          begin
            $write("\nWrite to TxBD (Data: 0x%x, TxBD Addr: 0x%0x)\n", Data, Address[9:2]);
            $write("\nWrite to TxBD (Data: 0x%x, TxBD Addr: 0x%0x)\n", Data, Address);
            if(Data[13])
            if(Data[13])
              $write("Send Control packet (PAUSE = 0x%0h)\n", Data[31:16]);
              $write("Send Control packet (PAUSE = 0x%0h)\n", Data[31:16]);
          end
          end
        else
        else
          $write("\nWrite to RxBD (Data: 0x%x, RxBD Addr: 0x%0x)", Data, Address[9:2]);
          $write("\nWrite to RxBD (Data: 0x%x, RxBD Addr: 0x%0x)", Data, Address);
      else
      else
        $write("\nWB write      Data: 0x%x      Addr: 0x%0x", Data, Address);
        $write("\nWB write ??????????????     Data: 0x%x      Addr: 0x%0x", Data, Address);
    else
 
      $write("\nWARNING !!! WB write to non-ethernet space (Data: 0x%x, Addr: 0x%0x)", Data, Address);
 
    #1;
    #1;
    WB_ADR_I = 32'hx;
    WB_ADR_I = 32'hx;
    WB_DAT_I = 32'hx;
    WB_DAT_I = 32'hx;
    WB_WE_I  = 1'bx;
    WB_WE_I  = 1'bx;
    WB_CYC_I = 1'b0;
    WB_CYC_I = 1'b0;
Line 356... Line 361...
          Address, $time);
          Address, $time);
        #50 $stop;
        #50 $stop;
      end
      end
 
 
    @ (posedge WB_CLK_I);
    @ (posedge WB_CLK_I);
    if(Address[31:16] == `ETH_ETHERNET_SPACE)
      if(~Address[17] & ~Address[16])
      if(Address[15:12] == `ETH_REG_SPACE)
        $write("\nRead from register (Data: 0x%x, Reg. Addr: 0x%0x)", Data, Address);
        $write("\nRead from register (Data: 0x%x, Reg. Addr: 0x%0x)", Data, Address[9:2]);
 
      else
      else
      if(Address[15:12] == `ETH_BD_SPACE)
      if(~Address[17] & Address[16])
        if(Address[9:2] < tb_eth_top.ethtop.r_RxBDAddress)
        if(Address[9:2] < tb_eth_top.ethtop.r_RxBDAddress)
          begin
          begin
            $write("\nRead from TxBD (Data: 0x%x, TxBD Addr: 0x%0x)", Data, Address[9:2]);
            $write("\nRead from TxBD (Data: 0x%x, TxBD Addr: 0x%0x)", Data, Address);
          end
          end
        else
        else
          $write("\nRead from RxBD (Data: 0x%x, RxBD Addr: 0x%0x)", Data, Address[9:2]);
          $write("\nRead from RxBD (Data: 0x%x, RxBD Addr: 0x%0x)", Data, Address);
      else
 
        $write("\nWB read      Data: 0x%x      Addr: 0x%0x", Data, Address);
 
    else
    else
      $write("\nWARNING !!! WB read to non-ethernet space (Data: 0x%x, Addr: 0x%0x)", Data, Address);
        $write("\nWB read  ?????????    Data: 0x%x      Addr: 0x%0x", Data, Address);
    #1;
    #1;
    WB_ADR_I = 32'hx;
    WB_ADR_I = 32'hx;
    WB_WE_I  = 1'bx;
    WB_WE_I  = 1'bx;
    WB_CYC_I = 1'b0;
    WB_CYC_I = 1'b0;
    WB_STB_I = 1'b0;
    WB_STB_I = 1'b0;
Line 397... Line 399...
    if(TxBDIndex == 3)    // Only 4 buffer descriptors are used
    if(TxBDIndex == 3)    // Only 4 buffer descriptors are used
      Wrap = 1'b1;
      Wrap = 1'b1;
    else
    else
      Wrap = 1'b0;
      Wrap = 1'b0;
 
 
    TempAddr = {`ETH_ETHERNET_SPACE, `ETH_BD_SPACE, 2'h0, (TxBDIndex<<2)};
    TempAddr = {22'h40, (TxBDIndex<<2)};
    TempData = {Length[15:0], 1'b1, Wrap, ControlFrame, 5'h0, TxBDIndex[7:0]};  // Ready and Wrap = 1
    TempData = {Length[15:0], 1'b1, 1'b0, Wrap, 3'h0, ControlFrame, 1'b0, TxBDIndex[7:0]};  // Ready and Wrap = 1
 
 
    #1;
    #1;
    if(TxBDIndex == 3)    // Only 4 buffer descriptors are used
    if(TxBDIndex == 3)    // Only 4 buffer descriptors are used
      TxBDIndex = 0;
      TxBDIndex = 0;
    else
    else
Line 434... Line 436...
    if(RxBDIndex == 3)    // Only 4 buffer descriptors are used
    if(RxBDIndex == 3)    // Only 4 buffer descriptors are used
      WrapRx = 1'b1;
      WrapRx = 1'b1;
    else
    else
      WrapRx = 1'b0;
      WrapRx = 1'b0;
 
 
    TempRxAddr = {`ETH_ETHERNET_SPACE, `ETH_BD_SPACE, 2'h0, ((tb_eth_top.ethtop.r_RxBDAddress + RxBDIndex)<<2)};
    TempRxAddr = {22'h40, ((tb_eth_top.ethtop.r_RxBDAddress + RxBDIndex)<<2)};
 
 
    TempRxData = {LengthRx[15:0], 1'b1, WrapRx, 6'h0, RxBDIndex[7:0]};  // Ready and WrapRx = 1 or 0
    TempRxData = {LengthRx[15:0], 1'b1, 1'b0, WrapRx, 5'h0, RxBDIndex[7:0]};  // Ready and WrapRx = 1 or 0
 
 
    #1;
    #1;
    if(RxBDIndex == 3)    // Only 4 buffer descriptors are used
    if(RxBDIndex == 3)    // Only 4 buffer descriptors are used
      RxBDIndex = 0;
      RxBDIndex = 0;
    else
    else
Line 487... Line 489...
 
 
    wait (~WishboneBusy);
    wait (~WishboneBusy);
    WishboneBusy = 1;
    WishboneBusy = 1;
    #1;
    #1;
    WB_DAT_I = {a, b, c, d};
    WB_DAT_I = {a, b, c, d};
    WB_ADR_I = {`ETH_ETHERNET_SPACE, `ETH_TX_DATA, pp[11:0]};
    WB_ADR_I = {20'h20, pp[11:0]};
    $display("task WaitingForTxDMARequest: pp=%0d, WB_ADR_I=0x%0h, WB_DAT_I=0x%0h", pp, WB_ADR_I, WB_DAT_I);
    $display("task WaitingForTxDMARequest: pp=%0d, WB_ADR_I=0x%0h, WB_DAT_I=0x%0h", pp, WB_ADR_I, WB_DAT_I);
 
 
    WB_WE_I  = 1'b1;
    WB_WE_I  = 1'b1;
    WB_CYC_I = 1'b1;
    WB_CYC_I = 1'b1;
    WB_STB_I = 1'b1;
    WB_STB_I = 1'b1;
Line 523... Line 525...
    repeat(Delay) @(posedge WB_CLK_I);
    repeat(Delay) @(posedge WB_CLK_I);
 
 
    wait (~WishboneBusy);
    wait (~WishboneBusy);
    WishboneBusy = 1;
    WishboneBusy = 1;
    #1;
    #1;
    WB_ADR_I = {`ETH_ETHERNET_SPACE, `ETH_RX_DATA, rr[11:0]};
    WB_ADR_I = {20'h20, rr[11:0]};
    $display("task WaitingForRxDMARequest: rr=%0d, WB_ADR_I=0x%0h, WB_DAT_O=0x%0h", rr, WB_ADR_I, WB_DAT_O);
    $display("task WaitingForRxDMARequest: rr=%0d, WB_ADR_I=0x%0h, WB_DAT_O=0x%0h", rr, WB_ADR_I, WB_DAT_O);
 
 
    WB_WE_I  = 1'b1;
    WB_WE_I  = 1'b1;
    WB_CYC_I = 1'b1;
    WB_CYC_I = 1'b1;
    WB_STB_I = 1'b1;
    WB_STB_I = 1'b1;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.