OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] [rtl/] [verilog/] [eth_spram_256x32.v] - Diff between revs 312 and 330

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 312 Rev 330
Line 39... Line 39...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.9  2003/12/05 12:43:06  tadejm
 
// Corrected address mismatch for xilinx RAMB4_S8 model which has wider address than RAMB4_S16.
 
//
// Revision 1.8  2003/12/04 14:59:13  simons
// Revision 1.8  2003/12/04 14:59:13  simons
// Lapsus fixed (!we -> ~we).
// Lapsus fixed (!we -> ~we).
//
//
// Revision 1.7  2003/11/12 18:24:59  tadejm
// Revision 1.7  2003/11/12 18:24:59  tadejm
// WISHBONE slave changed and tested from only 32-bit accesss to byte access.
// WISHBONE slave changed and tested from only 32-bit accesss to byte access.
Line 228... Line 231...
        .mbist_ctrl_i       (mbist_ctrl_i)
        .mbist_ctrl_i       (mbist_ctrl_i)
      `endif
      `endif
      );
      );
 
 
`else   // !ETH_ARTISAN_RAM
`else   // !ETH_ARTISAN_RAM
 
`ifdef ETH_ALTERA_ALTSYNCRAM
 
 
 
    altera_spram_256x32 altera_spram_256x32_inst
 
    (
 
          .address        (addr),
 
          .wren           (ce & we),
 
          .clock          (clk),
 
          .data           (di),
 
          .q              (do)
 
        );  //exemplar attribute altera_spram_256x32_inst NOOPT TRUE
 
 
 
`else   // !ETH_ALTERA_ALTSYNCRAM
 
 
 
 
        //
        //
        // Generic single-port synchronous RAM model
        // Generic single-port synchronous RAM model
        //
        //
 
 
        //
        //
Line 282... Line 299...
                for (rnum=start;rnum<=finish;rnum=rnum+1)
                for (rnum=start;rnum<=finish;rnum=rnum+1)
                        $display("Addr %h = %0h %0h %0h %0h",rnum,mem3[rnum],mem2[rnum],mem1[rnum],mem0[rnum]);
                        $display("Addr %h = %0h %0h %0h %0h",rnum,mem3[rnum],mem2[rnum],mem1[rnum],mem0[rnum]);
        end
        end
        endtask
        endtask
 
 
 
`endif  // !ETH_ALTERA_ALTSYNCRAM
`endif  // !ETH_ARTISAN_RAM
`endif  // !ETH_ARTISAN_RAM
`endif  // !ETH_VIRTUAL_SILICON_RAM
`endif  // !ETH_VIRTUAL_SILICON_RAM
`endif  // !ETH_XILINX_RAMB4
`endif  // !ETH_XILINX_RAMB4
 
 
endmodule
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.