OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] [rtl/] [verilog/] [eth_wishbone.v] - Diff between revs 329 and 333

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 329 Rev 333
Line 39... Line 39...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.57  2005/02/21 11:35:33  igorm
 
// Defer indication fixed.
 
//
// Revision 1.56  2004/04/30 10:30:00  igorm
// Revision 1.56  2004/04/30 10:30:00  igorm
// Accidently deleted line put back.
// Accidently deleted line put back.
//
//
// Revision 1.55  2004/04/26 15:26:23  igorm
// Revision 1.55  2004/04/26 15:26:23  igorm
// - Bug connected to the TX_BD_NUM_Wr signal fixed (bug came in with the
// - Bug connected to the TX_BD_NUM_Wr signal fixed (bug came in with the
Line 1826... Line 1829...
reg RxAbortSync3;
reg RxAbortSync3;
reg RxAbortSync4;
reg RxAbortSync4;
reg RxAbortSyncb1;
reg RxAbortSyncb1;
reg RxAbortSyncb2;
reg RxAbortSyncb2;
 
 
assign StartRxBDRead = RxStatusWrite | RxAbortSync3 & ~RxAbortSync4;
assign StartRxBDRead = RxStatusWrite | RxAbortSync3 & ~RxAbortSync4 | r_RxEn & ~r_RxEn_q;
 
 
// Reading the Rx buffer descriptor
// Reading the Rx buffer descriptor
always @ (posedge WB_CLK_I or posedge Reset)
always @ (posedge WB_CLK_I or posedge Reset)
begin
begin
  if(Reset)
  if(Reset)
    RxBDRead <=#Tp 1'b1;
    RxBDRead <=#Tp 1'b0;
  else
  else
  if(StartRxBDRead & ~RxReady)
  if(StartRxBDRead & ~RxReady)
    RxBDRead <=#Tp 1'b1;
    RxBDRead <=#Tp 1'b1;
  else
  else
  if(RxBDReady)
  if(RxBDReady)
Line 1876... Line 1879...
always @ (posedge WB_CLK_I or posedge Reset)
always @ (posedge WB_CLK_I or posedge Reset)
begin
begin
  if(Reset)
  if(Reset)
    RxReady <=#Tp 1'b0;
    RxReady <=#Tp 1'b0;
  else
  else
  if(ShiftEnded | RxAbortSync2 & ~RxAbortSync3)
  if(ShiftEnded | RxAbortSync2 & ~RxAbortSync3 | ~r_RxEn & r_RxEn_q)
    RxReady <=#Tp 1'b0;
    RxReady <=#Tp 1'b0;
  else
  else
  if(RxEn & RxEn_q & RxPointerRead)
  if(RxEn & RxEn_q & RxPointerRead)
    RxReady <=#Tp 1'b1;
    RxReady <=#Tp 1'b1;
end
end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.