OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] [scripts/] [Makefile] - Diff between revs 340 and 348

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 340 Rev 348
Line 1... Line 1...
 
ifeq ($(VCD), 1)
 
ICARUS_OPTIONS += -DVCD
 
endif
rtl-tests:
rtl-tests:
        mkdir -p ../build/sim
        mkdir -p ../build/sim
        mkdir -p ../log
        mkdir -p ../log
        iverilog -stb_ethernet -cicarus.scr -o ../build/sim/ethmac.elf
        iverilog -stb_ethernet -cicarus.scr $(ICARUS_OPTIONS) -o ../build/sim/ethmac.elf
        vvp ../build/sim/ethmac.elf
        vvp ../build/sim/ethmac.elf
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.