OpenCores
URL https://opencores.org/ocsvn/galois_lfsr/galois_lfsr/trunk

Subversion Repositories galois_lfsr

[/] [galois_lfsr/] [trunk/] [rtl/] [user.vhdl] - Diff between revs 7 and 8

Show entire file | Details | Blame | View Log

Rev 7 Rev 8
Line 38... Line 38...
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all; use ieee.math_real.all;
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all; use ieee.math_real.all;
/* Enable for synthesis; comment out for simulation.
/* Enable for synthesis; comment out for simulation.
        For this design, we just need boolean_vector. This is already included in Questa/ModelSim,
        For this design, we just need boolean_vector. This is already included in Questa/ModelSim,
        but Quartus doesn't yet support this.
        but Quartus doesn't yet support this.
*/
*/
use work.types.all;
--use work.types.all;
 
 
entity user is
entity user is
        generic(
        generic(
                parallelLoad:boolean:=false;
                parallelLoad:boolean:=false;
                tapVector:boolean_vector:=(
                tapVector:boolean_vector:=(
Line 52... Line 52...
                        0|1|2|8=>true, 7 downto 3=>false
                        0|1|2|8=>true, 7 downto 3=>false
                )
                )
        );
        );
        port(
        port(
                /* Comment-out for simulation. */
                /* Comment-out for simulation. */
                clk,reset:in std_ulogic;
--              clk,reset:in std_ulogic;
                msg:in unsigned(tapVector'high downto 0):=9x"57";
                msg:in unsigned(tapVector'high downto 0):=9x"57";
                crc32:out unsigned(31 downto 0):=(others=>'0')
                crc32:out unsigned(31 downto 0):=(others=>'0')
        );
        );
end entity user;
end entity user;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.