URL
https://opencores.org/ocsvn/keras_to_fpga/keras_to_fpga/trunk
Show entire file |
Details |
Blame |
View Log
Rev 2 |
Rev 3 |
Line 29... |
Line 29... |
import uvm_pkg::*;
|
import uvm_pkg::*;
|
`include "uvm_macros.svh"
|
`include "uvm_macros.svh"
|
import tb_top_pkg::*;
|
import tb_top_pkg::*;
|
|
|
// --------------------------------------------------------------------
|
// --------------------------------------------------------------------
|
wire clk_100mhz;
|
localparam realtime PERIODS[1] = '{10ns};
|
wire tb_clk = clk_100mhz;
|
localparam CLOCK_COUNT = $size(PERIODS);
|
wire tb_rst;
|
|
|
|
tb_base #(.PERIOD(10_000)) tb(clk_100mhz, tb_rst);
|
|
|
|
// --------------------------------------------------------------------
|
// --------------------------------------------------------------------
|
wire aclk = clk_100mhz;
|
bit tb_clk[CLOCK_COUNT];
|
wire tb_rst_s;
|
wire tb_aresetn;
|
wire aresetn = ~tb_rst_s;
|
bit tb_reset[CLOCK_COUNT];
|
|
|
|
tb_base #(.N(CLOCK_COUNT), .PERIODS(PERIODS)) tb(.*);
|
|
|
sync_reset sync_reset(aclk, tb_rst, tb_rst_s);
|
// --------------------------------------------------------------------
|
|
wire aclk = tb_clk[0];
|
|
wire aresetn = ~tb_reset[0];
|
|
|
// --------------------------------------------------------------------
|
// --------------------------------------------------------------------
|
axis_if #(.N(N), .U(U)) axis_in(.*);
|
axis_if #(.N(N), .U(U)) axis_in(.*);
|
axis_if #(.N(N), .U(U)) axis_out(.*);
|
axis_if #(.N(N), .U(U)) axis_out(.*);
|
axis_if #(.N(N), .U(U)) axis_stub(.*);
|
axis_if #(.N(N), .U(U)) axis_stub(.*);
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.