OpenCores
URL https://opencores.org/ocsvn/mblite/mblite/trunk

Subversion Repositories mblite

[/] [mblite/] [trunk/] [hw/] [core/] [core_wb.vhd] - Diff between revs 2 and 8

Show entire file | Details | Blame | View Log

Rev 2 Rev 8
Line 10... Line 10...
--      Description        : Top level module of the MB-Lite microprocessor with connected
--      Description        : Top level module of the MB-Lite microprocessor with connected
--                           wishbone data bus
--                           wishbone data bus
--
--
----------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------
 
 
LIBRARY ieee;
library ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.ALL;
use ieee.std_logic_unsigned.all;
 
 
LIBRARY mblite;
library mblite;
USE mblite.config_Pkg.ALL;
use mblite.config_Pkg.all;
USE mblite.core_Pkg.ALL;
use mblite.core_Pkg.all;
USE mblite.std_Pkg.ALL;
use mblite.std_Pkg.all;
 
 
ENTITY core_wb IS GENERIC
entity core_wb is generic
(
(
    G_INTERRUPT  : boolean := CFG_INTERRUPT;
    G_INTERRUPT  : boolean := CFG_INTERRUPT;
    G_USE_HW_MUL : boolean := CFG_USE_HW_MUL;
    G_USE_HW_MUL : boolean := CFG_USE_HW_MUL;
    G_USE_BARREL : boolean := CFG_USE_BARREL;
    G_USE_BARREL : boolean := CFG_USE_BARREL;
    G_DEBUG      : boolean := CFG_DEBUG
    G_DEBUG      : boolean := CFG_DEBUG
);
);
PORT
port
(
(
    imem_o : OUT imem_out_type;
    imem_o : out imem_out_type;
    wb_o   : OUT wb_mst_out_type;
    wb_o   : out wb_mst_out_type;
    imem_i : IN imem_in_type;
    imem_i : in imem_in_type;
    wb_i   : IN wb_mst_in_type
    wb_i   : in wb_mst_in_type
);
);
END core_wb;
end core_wb;
 
 
ARCHITECTURE arch OF core_wb IS
architecture arch of core_wb is
    SIGNAL dmem_i : dmem_in_type;
    signal dmem_i : dmem_in_type;
    SIGNAL dmem_o : dmem_out_type;
    signal dmem_o : dmem_out_type;
BEGIN
begin
 
 
    wb_adapter0 : core_wb_adapter PORT MAP
    wb_adapter0 : core_wb_adapter port map
    (
    (
        dmem_i => dmem_i,
        dmem_i => dmem_i,
        wb_o   => wb_o,
        wb_o   => wb_o,
        dmem_o => dmem_o,
        dmem_o => dmem_o,
        wb_i   => wb_i
        wb_i   => wb_i
    );
    );
 
 
    core0 : core GENERIC MAP
    core0 : core generic map
    (
    (
        G_INTERRUPT  => G_INTERRUPT,
        G_INTERRUPT  => G_INTERRUPT,
        G_USE_HW_MUL => G_USE_HW_MUL,
        G_USE_HW_MUL => G_USE_HW_MUL,
        G_USE_BARREL => G_USE_BARREL,
        G_USE_BARREL => G_USE_BARREL,
        G_DEBUG      => G_DEBUG
        G_DEBUG      => G_DEBUG
    )
    )
    PORT MAP
    port map
    (
    (
        imem_o => imem_o,
        imem_o => imem_o,
        dmem_o => dmem_o,
        dmem_o => dmem_o,
        imem_i => imem_i,
        imem_i => imem_i,
        dmem_i => dmem_i,
        dmem_i => dmem_i,
        int_i  => wb_i.int_i,
        int_i  => wb_i.int_i,
        rst_i  => wb_i.rst_i,
        rst_i  => wb_i.rst_i,
        clk_i  => wb_i.clk_i
        clk_i  => wb_i.clk_i
    );
    );
 
 
END arch;
end arch;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.