OpenCores
URL https://opencores.org/ocsvn/minsoc/minsoc/trunk

Subversion Repositories minsoc

[/] [minsoc/] [trunk/] [backend/] [altera_3c25_board/] [configure] - Diff between revs 97 and 105

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 97 Rev 105
Line 1... Line 1...
#!/bin/bash
#!/bin/bash
 
 
#new boards have to udpate this
#new boards have to udpate this
BOARD=altera_3c25_board                                         #this has to have the name of the directory this file is in
BOARD=altera_3c25_board                                         #this has to have the name of the directory this file is in
DEVICE_PART=EP3C25Q240C8
DEVICE_PART=EP3C25Q240C8
FAMILY_PART="Cyclone III"
 
CONSTRAINT_FILE='altera_3c25_board.ucf'
CONSTRAINT_FILE='altera_3c25_board.ucf'
PROJECT_FILE=minsoc_top.qsf
FAMILY_PART="Cyclone III"
#SW_VERSION=`quartus_map -v | grep Version`
 
#~new boards update
#~new boards update
 
 
#system workings
#system workings
MINSOC_DIR=`pwd`/../..
MINSOC_DIR=`pwd`/../..
BACKEND_DIR=$MINSOC_DIR/backend
BACKEND_DIR=$MINSOC_DIR/backend
SYN_DIR=$MINSOC_DIR/syn
SYN_DIR=$MINSOC_DIR/syn
SYNSRC_DIR=$MINSOC_DIR/prj/altera
SYNSRC_DIR=$MINSOC_DIR/prj/altera
SYNSUPPORT_DIR=$SYN_DIR/buildSupport
SYNSUPPORT_DIR=$SYN_DIR/buildSupport
MAKEFILE_DIR=$SYN_DIR/altera
MAKEFILE_DIR=$SYN_DIR/altera
 
 
 
PROJECT_FILE=minsoc_top.qsf
 
 
SYN_FILES=(adbg_top.prj jtag_top.prj or1200_top.prj uart_top.prj minsoc_top.prj altera_virtual_jtag.prj)
SYN_FILES=(adbg_top.prj jtag_top.prj or1200_top.prj uart_top.prj minsoc_top.prj altera_virtual_jtag.prj)
MAKEFILE=Makefile
MAKEFILE=Makefile
 
 
FIND_PART='DEVICE_PART'
FIND_PART='DEVICE_PART'
FIND_FAMILY='FAMILY_PART'
FIND_FAMILY='FAMILY_PART'
Line 85... Line 85...
    echo "Generated quartus settings file in $SYNSUPPORT_DIR/$PROJECT_FILE"
    echo "Generated quartus settings file in $SYNSUPPORT_DIR/$PROJECT_FILE"
    echo ""
    echo ""
 
 
    echo "Copying Makefile from $MAKEFILE_DIR to synthesis directory, $SYN_DIR..."
    echo "Copying Makefile from $MAKEFILE_DIR to synthesis directory, $SYN_DIR..."
    cp $MAKEFILE_DIR/$MAKEFILE $SYN_DIR/$MAKEFILE
    cp $MAKEFILE_DIR/$MAKEFILE $SYN_DIR/$MAKEFILE
 
    cp $MAKEFILE_DIR/setup.bat $SYN_DIR/setup.bat
    echo ""
    echo ""
 
 
    echo "Copying board specific SoC files from $BOARD_DIR to $BACKEND_DIR directory."
    echo "Copying board specific SoC files from $BOARD_DIR to $BACKEND_DIR directory."
    echo "__________________________________________________________________________"
    echo "__________________________________________________________________________"
    echo ""
    echo ""

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.