OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [cell_1b_mux.vhd] - Diff between revs 2 and 3

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 1... Line 1...
------------------------------------------------------------------------------------ 
----------------------------------------------------------------------  
--                      
----  cel_1b_mux                                                  ---- 
-- Geoffrey Ottoy - DraMCo research group
----                                                              ---- 
--
----  This file is part of the                                    ----
-- Module Name: cell_1b_mux.vhd / entity cell_1b_mux
----    Modular Simultaneous Exponentiation Core project          ---- 
-- 
----    http://www.opencores.org/cores/mod_sim_exp/               ---- 
-- Last Modified:       14/11/2011 
----                                                              ---- 
-- 
----  Description                                                 ---- 
-- Description:         mux for use in the montgommery multiplier systolic array
----    mux for use in the montgommery multiplier systolic array  ----
--                                              currently a behavioral description
----                                                              ---- 
--
----  Dependencies: none                                          ---- 
--
----                                                              ---- 
-- Dependencies:        none
----  Authors:                                                    ----
--
----      - Geoffrey Ottoy, DraMCo research group                 ----
-- Revision:
----      - Jonas De Craene, JonasDC@opencores.org                ---- 
--      Revision 1.00 - Architecture
----                                                              ---- 
--      Revision 0.01 - File Created
---------------------------------------------------------------------- 
--
----                                                              ---- 
--
---- Copyright (C) 2011 DraMCo research group and OPENCORES.ORG   ---- 
------------------------------------------------------------------------------------
----                                                              ---- 
--
---- This source file may be used and distributed without         ---- 
-- NOTICE:
---- restriction provided that this copyright statement is not    ---- 
--
---- removed from the file and that any derivative work contains  ---- 
-- Copyright DraMCo research group. 2011. This code may be contain portions patented
---- the original copyright notice and the associated disclaimer. ---- 
-- by other third parties!
----                                                              ---- 
--
---- This source file is free software; you can redistribute it   ---- 
------------------------------------------------------------------------------------
---- and/or modify it under the terms of the GNU Lesser General   ---- 
library IEEE;
---- Public License as published by the Free Software Foundation; ---- 
use IEEE.STD_LOGIC_1164.ALL;
---- either version 2.1 of the License, or (at your option) any   ---- 
use IEEE.STD_LOGIC_ARITH.ALL;
---- later version.                                               ---- 
use IEEE.STD_LOGIC_UNSIGNED.ALL;
----                                                              ---- 
 
---- This source is distributed in the hope that it will be       ---- 
---- Uncomment the following library declaration if instantiating
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
---- any Xilinx primitives in this code.
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
--library UNISIM;
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
--use UNISIM.VComponents.all;
---- details.                                                     ---- 
 
----                                                              ---- 
 
---- You should have received a copy of the GNU Lesser General    ---- 
 
---- Public License along with this source; if not, download it   ---- 
 
---- from http://www.opencores.org/lgpl.shtml                     ---- 
 
----                                                              ---- 
 
----------------------------------------------------------------------
 
 
 
library ieee;
 
use ieee.std_logic_1164.all;
 
use ieee.std_logic_arith.all;
 
use ieee.std_logic_unsigned.all;
 
 
 
 
entity cell_1b_mux is
entity cell_1b_mux is
    Port ( my : in  STD_LOGIC;
  port (
           y : in  STD_LOGIC;
    my     : in  std_logic;
           m : in  STD_LOGIC;
    y      : in  std_logic;
           x : in  STD_LOGIC;
    m      : in  std_logic;
           q : in  STD_LOGIC;
    x      : in  std_logic;
           result : out  STD_LOGIC);
    q      : in  std_logic;
 
    result : out std_logic
 
  );
end cell_1b_mux;
end cell_1b_mux;
 
 
 
 
architecture Behavioral of cell_1b_mux is
architecture Behavioral of cell_1b_mux is
        signal sel : std_logic_vector(1 downto 0);
        signal sel : std_logic_vector(1 downto 0);
begin
begin
 
 
        sel <= x & q;
        sel <= x & q;
Line 56... Line 71...
                                         m when "01",
                                         m when "01",
                                         '0' when others;
                                         '0' when others;
 
 
end Behavioral;
end Behavioral;
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.