OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [counter_sync.vhd] - Diff between revs 2 and 3

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 1... Line 1...
------------------------------------------------------------------------------------ 
----------------------------------------------------------------------  
--                      
----  counter_sync                                                ---- 
-- Geoffrey Ottoy - DraMCo research group
----                                                              ---- 
--
----  This file is part of the                                    ----
-- Module Name: counter_sync.vhd / entity counter_sync
----    Modular Simultaneous Exponentiation Core project          ---- 
-- 
----    http://www.opencores.org/cores/mod_sim_exp/               ---- 
-- Last Modified:       23/01/2012 
----                                                              ---- 
-- 
----  Description                                                 ---- 
-- Description:         counter with synchronous count enable. It generates an
----     counter with synchronous count enable. It generates an   ----
--                                              overflow when max_value is reached
----     overflow when max_value is reached                       ----
--
----                                                              ----
--
----  Dependencies: none                                          ----
-- Dependencies:        none
----                                                              ----
--
----  Authors:                                                    ----
-- Revision:
----      - Geoffrey Ottoy, DraMCo research group                 ----
-- Revision 2.00 - moved max_value from generic to port so it is changeable in runtime
----      - Jonas De Craene, JonasDC@opencores.org                ---- 
--      Revision 1.00 - Architecture
----                                                              ---- 
--      Revision 0.01 - File Created
---------------------------------------------------------------------- 
--
----                                                              ---- 
--
---- Copyright (C) 2011 DraMCo research group and OPENCORES.ORG   ---- 
------------------------------------------------------------------------------------
----                                                              ---- 
--
---- This source file may be used and distributed without         ---- 
-- NOTICE:
---- restriction provided that this copyright statement is not    ---- 
--
---- removed from the file and that any derivative work contains  ---- 
-- Copyright DraMCo research group. 2011. This code may be contain portions patented
---- the original copyright notice and the associated disclaimer. ---- 
-- by other third parties!
----                                                              ---- 
--
---- This source file is free software; you can redistribute it   ---- 
------------------------------------------------------------------------------------
---- and/or modify it under the terms of the GNU Lesser General   ---- 
library IEEE;
---- Public License as published by the Free Software Foundation; ---- 
use IEEE.STD_LOGIC_1164.ALL;
---- either version 2.1 of the License, or (at your option) any   ---- 
use IEEE.STD_LOGIC_ARITH.ALL;
---- later version.                                               ---- 
use IEEE.STD_LOGIC_UNSIGNED.ALL;
----                                                              ---- 
 
---- This source is distributed in the hope that it will be       ---- 
---- Uncomment the following library declaration if instantiating
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
---- any Xilinx primitives in this code.
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
--library UNISIM;
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
--use UNISIM.VComponents.all;
---- details.                                                     ---- 
 
----                                                              ---- 
 
---- You should have received a copy of the GNU Lesser General    ---- 
 
---- Public License along with this source; if not, download it   ---- 
 
---- from http://www.opencores.org/lgpl.shtml                     ---- 
 
----                                                              ---- 
 
----------------------------------------------------------------------
 
 
 
library ieee;
 
use ieee.std_logic_1164.all;
 
use ieee.std_logic_arith.all;
 
use ieee.std_logic_unsigned.all;
 
 
 
 
entity counter_sync is
entity counter_sync is
        generic(max_value : integer := 1024
  generic(
 
    max_value : integer := 1024
        );
        );
   port(reset_value : in integer;
  port(
                        core_clk : in  STD_LOGIC;
    reset_value : in integer;
                             ce : in  STD_LOGIC;
    core_clk    : in std_logic;
                          reset : in  STD_LOGIC;
    ce          : in std_logic;
                  overflow : out STD_LOGIC
    reset       : in std_logic;
 
    overflow    : out std_logic
        );
        );
end counter_sync;
end counter_sync;
 
 
 
 
architecture Behavioral of counter_sync is
architecture Behavioral of counter_sync is
 
 
        signal overflow_i : std_logic := '0';
        signal overflow_i : std_logic := '0';
begin
begin
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.