OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [interface/] [plb/] [user_logic.vhd] - Diff between revs 74 and 77

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 74 Rev 77
Line 184... Line 184...
  signal core_p_sel                     : std_logic_vector(1 downto 0);
  signal core_p_sel                     : std_logic_vector(1 downto 0);
  signal core_dest_op_single            : std_logic_vector(1 downto 0);
  signal core_dest_op_single            : std_logic_vector(1 downto 0);
  signal core_x_sel_single              : std_logic_vector(1 downto 0);
  signal core_x_sel_single              : std_logic_vector(1 downto 0);
  signal core_y_sel_single              : std_logic_vector(1 downto 0);
  signal core_y_sel_single              : std_logic_vector(1 downto 0);
  signal core_flags                     : std_logic_vector(15 downto 0);
  signal core_flags                     : std_logic_vector(15 downto 0);
  signal core_modulus_sel               : std_logic_vector(0 downto 0);
  signal core_modulus_sel               : std_logic;
 
 
  ------------------------------------------------------------------
  ------------------------------------------------------------------
  -- Signals for multiplier core memory space
  -- Signals for multiplier core memory space
  ------------------------------------------------------------------
  ------------------------------------------------------------------
  signal mem_address                    : std_logic_vector(0 to 5);
  signal mem_address                    : std_logic_vector(0 to 5);
Line 393... Line 393...
  core_dest_op_single <= slv_reg0(2 to 3);
  core_dest_op_single <= slv_reg0(2 to 3);
  core_x_sel_single <= slv_reg0(4 to 5);
  core_x_sel_single <= slv_reg0(4 to 5);
  core_y_sel_single <= slv_reg0(6 to 7);
  core_y_sel_single <= slv_reg0(6 to 7);
  core_start <= slv_reg0(8);
  core_start <= slv_reg0(8);
  core_exp_m <= slv_reg0(9);
  core_exp_m <= slv_reg0(9);
  core_modulus_sel <= slv_reg0(10 to 10);
  core_modulus_sel <= slv_reg0(10);
 
 
  ------------------------------------------
  ------------------------------------------
  -- Multiplier core instance
  -- Multiplier core instance
  ------------------------------------------
  ------------------------------------------
  the_multiplier: mod_sim_exp_core
  the_multiplier: mod_sim_exp_core

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.