OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [sim/] [tests/] [tb_axis_video_frame/] [t_debug.svh] - Diff between revs 45 and 49

Show entire file | Details | Blame | View Log

Rev 45 Rev 49
Line 52... Line 52...
    s_avf_slave_base s_seq = s_avf_slave_base::type_id::create("s_seq");
    s_avf_slave_base s_seq = s_avf_slave_base::type_id::create("s_seq");
    s_seq.sequencer_h = env_h.s_agent_h.sequencer_h;
    s_seq.sequencer_h = env_h.s_agent_h.sequencer_h;
    fork
    fork
      s_seq.start(env_h.s_agent_h.sequencer_h);
      s_seq.start(env_h.s_agent_h.sequencer_h);
    join_none
    join_none
    seq.init( env_h.cfg_h.m_cfg_h.pixels_per_line
    seq.init(env_h.cfg_h.m_cfg_h.c_h);
            , env_h.cfg_h.m_cfg_h.lines_per_frame
 
            , env_h.cfg_h.m_cfg_h.bits_per_pixel
 
            , env_h.cfg_h.m_cfg_h.pixels_per_clk
 
            );
 
    phase.raise_objection(this);
    phase.raise_objection(this);
    seq.start(env_h.m_agent_h.sequencer_h);
    seq.start(env_h.m_agent_h.sequencer_h);
    phase.drop_objection(this);
    phase.drop_objection(this);
  endtask : run_phase
  endtask : run_phase
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.