OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [sim/] [tests/] [tb_video_frame_dpi/] [sv_video_frame_dpi.svh] - Diff between revs 43 and 44

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 43 Rev 44
Line 49... Line 49...
    c_get_array(va);
    c_get_array(va);
    $display("^^^ %16.t | %m | %p |", $time, va);
    $display("^^^ %16.t | %m | %p |", $time, va);
  endtask
  endtask
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
   function new;
 
    $display("^^^ | video_frame_dpi | new");
 
   endfunction
 
 
 
  // --------------------------------------------------------------------
 
   function void init(int width, int height, buffer_in_size=2, buffer_out_size=2);
   function void init(int width, int height, buffer_in_size=2, buffer_out_size=2);
    video_array_t a_h;
    video_array_t a_h;
    $display("^^^ | video_frame_dpi | init");
    $display("^^^ | video_frame_dpi | init");
    this.array_buffer = new(buffer_in_size);
    this.array_buffer = new(buffer_in_size);
    this.buffer_in = new(buffer_in_size);
    this.buffer_in = new(buffer_in_size);
Line 85... Line 80...
    $display("^^^ | video_frame_dpi | exit");
    $display("^^^ | video_frame_dpi | exit");
    exit_py_dpi();
    exit_py_dpi();
   endfunction
   endfunction
 
 
// --------------------------------------------------------------------
// --------------------------------------------------------------------
 
   function new;
 
    $display("^^^ | video_frame_dpi | new");
 
   endfunction
 
 
 
// --------------------------------------------------------------------
endclass : video_frame_dpi
endclass : video_frame_dpi
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.