OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [SPI/] [spi_agent.svh] - Diff between revs 47 and 50

Only display areas with differences | Details | Blame | View Log

Rev 47 Rev 50
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
 
 
class spi_agent
class spi_agent #(N=1)
  extends uvm_agent;
  extends uvm_agent;
   `uvm_component_utils(spi_agent)
   `uvm_component_param_utils(spi_agent #(N))
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  virtual spi_if vif;
  virtual spi_if #(N) vif;
  spi_driver driver_h;
  spi_driver #(N) driver_h;
  spi_sequencer sequencer_h;
  spi_sequencer sequencer_h;
  // spi_monitor monitor_h;
 
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  virtual function void build_phase(uvm_phase phase);
  virtual function void build_phase(uvm_phase phase);
    // super.build_phase(phase);
    driver_h = spi_driver #(N)::type_id::create("driver_h", this);
    driver_h = spi_driver::type_id::create("driver_h", this);
 
    // monitor_h = spi_monitor ::type_id::create("monitor_h", this);
 
    sequencer_h = spi_sequencer::type_id::create("sequencer_h", this);
    sequencer_h = spi_sequencer::type_id::create("sequencer_h", this);
 
 
  endfunction
  endfunction
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  virtual function void connect_phase(uvm_phase phase);
  virtual function void connect_phase(uvm_phase phase);
    // super.connect_phase(phase);
 
 
 
    driver_h.vif = vif;
    driver_h.vif = vif;
    // monitor_h.vif = vif;
 
 
 
    driver_h.seq_item_port.connect(sequencer_h.seq_item_export);
    driver_h.seq_item_port.connect(sequencer_h.seq_item_export);
  endfunction
  endfunction
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
   function new(string name, uvm_component parent);
   function new(string name, uvm_component parent);
      super.new(name, parent);
      super.new(name, parent);
   endfunction
   endfunction
// --------------------------------------------------------------------
// --------------------------------------------------------------------
endclass : spi_agent
endclass
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.