OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [SPI/] [spi_if.sv] - Diff between revs 47 and 50

Show entire file | Details | Blame | View Log

Rev 47 Rev 50
Line 23... Line 23...
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
 
 
interface
interface spi_if #(N=1);
  spi_if();
 
  import uvm_pkg::*;
  import uvm_pkg::*;
  `include "uvm_macros.svh"
  `include "uvm_macros.svh"
  import tb_spi_pkg::*;
  import tb_spi_pkg::*;
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  logic sclk;
  logic sclk;
  logic ss_n;
  logic [N-1:0] ss_n;
  logic mosi;
  logic mosi;
  logic miso;
  logic miso;
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  time period = 40ns;
  time period = 40ns;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.