OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [SPI/] [tb_spi_pkg.sv] - Diff between revs 47 and 50

Show entire file | Details | Blame | View Log

Rev 47 Rev 50
Line 26... Line 26...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
 
 
package tb_spi_pkg;
package tb_spi_pkg;
  import uvm_pkg::*;
  import uvm_pkg::*;
  `include "uvm_macros.svh"
  `include "uvm_macros.svh"
  import bfm_pkg::*;
 
 
 
  // // --------------------------------------------------------------------
 
  // localparam W = 16;
 
  // localparam D = 8;
 
  // localparam UB = $clog2(D);
 
 
 
  // --------------------------------------------------------------------
 
  // typedef enum {FIFO_RD, FIFO_WR, FIFO_BOTH, FIFO_NULL} fifo_command_t;
 
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  `include "spi_sequence_item.svh"
  `include "spi_sequence_item.svh"
  typedef uvm_sequencer #(spi_sequence_item) spi_sequencer;
  typedef uvm_sequencer #(spi_sequence_item) spi_sequencer;
  `include "spi_driver.svh"
  `include "spi_driver.svh"
  // `include "spi_monitor.svh"
 
  // `include "spi_scoreboard.svh"
 
  `include "spi_agent.svh"
  `include "spi_agent.svh"
  // `include "tb_env.svh"
 
 
 
  // `include "s_debug.svh"
 
  // `include "t_top_base.svh"
 
  // `include "t_debug.svh"
 
 
 
// --------------------------------------------------------------------
// --------------------------------------------------------------------
endpackage : tb_spi_pkg
endpackage
endpackage
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.