OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [axis_video_frame/] [avf_config.svh] - Diff between revs 45 and 47

Only display areas with differences | Details | Blame | View Log

Rev 45 Rev 47
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
class avf_config #(N, U);
class avf_config #(N, U);
  virtual axis_if #(.N(N), .U(U)) vif;
  virtual axis_if #(.N(N), .U(U)) vif;
  protected uvm_active_passive_enum is_active; // UVM_ACTIVE or UVM_PASSIVE
  protected uvm_active_passive_enum is_active; // UVM_ACTIVE or UVM_PASSIVE
  int pixels_per_line;
  video_frame_config c_h;
  int lines_per_frame;
 
  int bits_per_pixel;
 
  int bytes_per_pixel;
 
  int pixels_per_clk;
 
  string name;
 
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  function void init
  function void init
  ( int pixels_per_line
  ( int pixels_per_line
  , int lines_per_frame
  , int lines_per_frame
  , int bits_per_pixel
  , int bits_per_pixel
  , string    name = ""
  , string    name = ""
  );
  );
    this.pixels_per_line  = pixels_per_line;
    c_h = new();
    this.lines_per_frame  = lines_per_frame;
    c_h.init( .pixels_per_line(pixels_per_line)
    this.bits_per_pixel   = bits_per_pixel;
            , .lines_per_frame(lines_per_frame)
    this.name             = name;
            , .bits_per_pixel(bits_per_pixel)
    this.bytes_per_pixel  = (bits_per_pixel % 8 == 0)
            , .bus_width(N)
                          ? (bits_per_pixel / 8)
            );
                          : (bits_per_pixel / 8) + 1;
 
    assert(N % bytes_per_pixel == 0) else
 
      `uvm_fatal("avf_config", "N % bytes_per_pixel != 0")
 
    this.pixels_per_clk   = N / bytes_per_pixel;
 
  endfunction: init
  endfunction: init
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  function new
  function new
  (  virtual axis_if #(.N(N), .U(U)) vif
  (  virtual axis_if #(.N(N), .U(U)) vif
  ,  uvm_active_passive_enum is_active = UVM_ACTIVE
  ,  uvm_active_passive_enum is_active = UVM_ACTIVE
  );
  );
    this.vif = vif;
    this.vif = vif;
    this.is_active = is_active;
    this.is_active = is_active;
  endfunction : new
  endfunction : new
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  function uvm_active_passive_enum get_is_active();
  function uvm_active_passive_enum get_is_active();
    return is_active;
    return is_active;
  endfunction : get_is_active
  endfunction : get_is_active
// --------------------------------------------------------------------
// --------------------------------------------------------------------
endclass : avf_config
endclass : avf_config
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.